Translate

Thursday, October 2, 2014

The Santa Clara Vanguard - An Inspiration for Silicon Valley

Extreme UltraViolet Lithography is behind schedule on the HVM time line. Free electron EUV lasers are years away. 450mm insertion has been delayed till 2018. Photoresist resist remains sensitive to shot noise. Intel has idled fab 42. Whose guidance might inspire silicon valley to persevere in the face of forward looking physics, a marginal economy and sustain our esprit de corps? The answer: Santa Clara's Vanguard. Of whom am I speaking? Applied Materials? KLA-Tencor? Lam Research? A safe bet would include all of the above, but I'm referring to "The" Santa Clara Vanguard [1], a world class, world champion, drum and bugle corps who cohabit the dominion of Santa Clara along with our historically iconic semiconductor industry giants. You might ask, "What similarities are there between a drum and bugle corps and those of us in the semiconductor industry?" The answer: Inspiration, perspiration, persistence, precision, performance, pride, creativity, dedication, diversity, endurance, integrity, grace under pressure and class (to name a few).

I will digress and explain. In the early 1990s I tuned into a cable TV sports channel featuring coverage of Drum Corps International's drum and bugle corps championship competition. Among the many talented participants was the Santa Clara Vanguard. Having worked in the semiconductor industry all of my life, it was gratifying to know Santa Clara was represented in the competition. I watched with great interest, inspired by the military like precision of the first corps of performers, while suppressing my instinct to march in their formation. After many cheers and applause, the opening performers exited the field in orderly formation. The PA system blared as the stadium's master of ceremonies announced the Santa Clara Vanguard. A hush fell over the noisy stadium until all was quiet. A very large, formidable looking formation entered the field pacing to a silent cadence and assembled in an abstract formation in the center of the stadium. Their formation was a mix of bugles, big brass, an enormous drum line and percussion group, along with a large complement of precision performers accompanied by several formations of color guardsmen. The silence peaked, the Vanguard's drum major raised his baton and the performance began. What did they play? The Washington Post March? No. The PA system blared again with the master of ceremonies announcing the Santa Clara Vanguard performing "The Phantom of the Opera" [2], a seemingly formidable artistic challenge for a drum and bugle corps. To my amazement, the Vanguard had engineered a precision performance, incorporating elements of the Broadway play's music, punctuated by the abstract directed self assembly of the marching corps and prop equipped performers. The performance was a mesmerizing musical spectacle of a kind I had never seen before. Neither had anyone else. It was genius. The Vanguard had transitioned the drum and bugle corps' routine from military pomp and circumstance into a precision crafted, creatively controlled crescendo on steroids. In addition to the brilliant musical performance, their formations on the field were so fractally fluid, I wondered how it was possible for hundreds of humans to multi-task in such abstract unison and some how make it look easy. Sophistication, performance and class have come to define the Santa Clara Vanguard. Sound familiar?

A few years later, I learned a Drum Corps International [3] championship competition was to take place in Allentown, Pennsylvania. One of the many corps scheduled to compete was the Santa Clara Vanguard. As my car's autopilot had negotiated many trips to Allentown visiting AT&T, I decided to make the trek and see the Vanguard in a live performance. Determining the Vanguard would not require applications support, I brought my wife (at the time) along instead of the normal complement of field engineers.  We arrived in Allentown an hour before the performance, found the stadium, and parked the car. It was dusk and the parking lot was darkened under a large tree line. My wife and I began walking through the large, dimly illuminated parking field. After walking a minute or so, I sensed we were being followed by unknowns emerging from the periphery of the wooded parking field. My New Yorker instincts fully functional, I turned to confirm my suspicions. We were being followed. I motioned my wife to stop and we held our position to observe those approaching from our rear. Out of the dusk and darkness appeared a large group of men and women now about a hundred feet away in the shadows. As they got closer we realized they were in formation, silently and rapidly approaching our observation point. Curiously, we held our position as they passed near by. It was Dutch Boy [4], a Canadian drum and bugle corps there for the competition. Surmising they may have startled us in the darkness, one of them cheerfully shouted, "Don't worry, we're just passing through." Everyone laughed and we knew we were in good company. Their drum corps began a cadence by clicking their sticks on the rims of their snare drums and we paced their formation to the stadium.

The competition that followed was an incredible display of showmanship and precision. Approximately ten groups were in contention. Dutch Boy placed well that evening and won several awards but unfortunately disbanded a few years later in 1993. The Santa Clara Vanguard performed their rendition of "Phantom" which was even more amazing in the live stadium setting. Over the course of the years, "The Vanguard" has won many awards, and six Drum Corps International World Championships. When they take the field, they appear as a massive formation in their crimson red and black uniforms. Topped with classic "Aussie" hats and their signature sash and SCV stars, they exude power, grace and precision. If you've never seen the Santa Clara Vanguard perform, make room on your agenda. They're among the best of the best on the field of competition, an expectation common to the Santa Clara tradition we share.

I was inspired to write this story years later after recently discovering and viewing several Santa Clara Vanguard videos on YouTube. Playing one of the the videos, I was taken by the power of the performance and the recollection that I had heard the same musical piece early in my years. My excellent memory recalled the time and place. It was from watching news programs and documentaries on television. An Internet search took me to the suspected source. Years ago, the CBS television network aired a program "CBS Reports", an excellent weekly news program of investigative TV journalism. It's inspiring introductory musical score was played by the CBS Symphony Orchestra. Its title, "Simple Gifts". I clicked on the link to one of CBS's archived episodes, and there was the inspirational music I remembered, played almost identically by the Santa Clara Vanguard years later in an inspiring drum and bugle corps rendition. In this more recent video performance posted on YouTube, the Santa Clara Vanguard moves forward acrossed the field in a massive formation, later exiting via DSA (Directed Self Assembly) to give way to a field of angelic performers. The truly symbolic uplifting of a singular performer on a field of competition in temperatures in excess of one hundred degrees provides us with an inspiring image of grace under pressure. I highly recommend you follow the YouTube link to the Vanguard's performance of “Simple Gifts” [5] which is powerful both visually and musically. As we negotiate life, careers and our future, the Vanguard's video, "40 Years of Class" might remind us of our past and help prepare us for the path ahead.

If you've worked in the semiconductor industry over the years and have witnessed the evolution of technology in progress, you're aware that the highly competitive environment is pervasive and that theory and reality are bridged by similar (but different) disciplines and performances. In a similar analogy, a recent New York Times article which chronicles the life of physicist Peter Higgs [7], renowned for correctly theorizing what is now called the "Higgs boson, it was revealed that he doesn't own a television, cell phone or have an email account. No one at CERN seemed to notice, and last October 8, he was awarded the Nobel Prize in physics for his theory and later confirmed discovery.

The semiconductor engineering challenges we face in future nano and picometer pursuits will require a cooperative effort on a global scale, and a broader base of competition. In the face of seemingly insurmountable physical laws delaying EUV and other critical programs, we must apply focused effort and creativity in a strategically competitive global environment. DSA on a stepper field might be engineered with creativity found on a larger field of competition [8] (note the Vanguard on the twenty yard line). You get the idea.

Thanks for visiting my blog site.  See you on the web.

Thomas D. Jay
Semiconductor Industry Consultant

Thomas.Dale.Jay@gmail.com 
www.ThomasDaleJay.blogspot.com
Thomas D. Jay YouTube Channel



http://www.linkedin.com/in/thomasdjay/


https://www.youtube.com/watch?v=vIiqAcGr614




www.npi.org
















www.spie.org






https://youtu.be/-R8jJ0wPM2Q










Corporate, private entities or publications referenced or linked in this article are the respective owners of their logos, trademarks, service marks, media content and intellectual property.  Unless otherwise disclosed, Thomas D. Jay has no financial interest in companies referenced in blog articles or other published media communications. No representation is made to either buy or sell securities. Opinions expressed by Thomas D. Jay are his own. Thomas D. Jay does not employ or otherwise utilize/authorize third party agents to express his opinions, represent his interests or conduct business on his behalf except where formally contractually designated.

Acknowledgements and Reference Links

[1] The Santa Clara Vanguard
https://www.scvanguard.org/

[2] The Phantom of the Opra, The Santa Clara Vanguard
http://www.youtube.com/watch?v=_FB3Y0FjVMo

[3] Drum Corps International (DCI)
http://www.dci.org

[4] Dutch Boy
http://www.youtube.com/watch?v=8WHzIlHcED4

[5] Simple Gifts
http://www.youtube.com/watch?v=8WHzIlHcED4

[6] 40 Years of Class, The Santa Clara Vanguard
http://www.youtube.com/watch?v=-NkvQl8Sj_g

[7] Peter Higgs
http://www.nytimes.com/2014/09/16/science/a-discoverer-as-elusive-as-his-particle-.html?_r=0

[8] A Larger Field of Competition
http://www.youtube.com/watch?v=vkAUYr-v1YY

Saturday, September 20, 2014

Future FEL/EUV Strategy - The Light at the End of the Beamline


http://www.youtube.com/watch?v=as4BFjU5MN0&list=UU8T5Lc8XntcOTYqgXLJbwigThe technology of semiconductor manufacturing and the advanced sciences of high energy physics have converged to enable a previously unimagined world of possibilities. Moore's law has enabled technologies that were science fiction only a few years ago. Intelligent system designs and futurist imaginations have brought us Apple's Seri and Google on our smart phones, along with IBM's Watson, now conducting scientific research. With the recent marketing alliance of Apple and IBM, how long before an intelligent Watson teams up with Seri?  Update.  On December 15, 2014 Microsoft announced real time language translation for Skype conversations.  In a sense, we are now in the creation business, and the intelligent systems we create will enhance our ability to sustain Moore's Law or its derivative evolution. As we know from history, evolution takes time. Hence, a window on our current progress:
 

Significant time and investment have been made in the EUV program and delays in bringing this important technology to market have some citing recent test data and crying foul. To my knowledge, no investors were harmed by news of IBM's recent July 29, NXE:3300B EUV performance announcement. The recent criticism of the IBM test results and the EUV program voiced by a few semiconductor industry analysts should be taken in stride. The analysts in question derive their livelihood by optimizing the positions of their client companies and investors. In retrospect, these analysts might be regarded as friendly forces providing diversionary fire for profit takers exiting the market after the resulting, coincidental jump in IBM and ASML stock prices on August 2. It should be recognized that ASML's NXE:3300B and 193nm technology are bridges to next generation EUV lithography and beyond. The capital intensive construction of a major bridge project usually results in some form of toll. It should come as no surprise that ASML stock holders are profiting from the traffic crossing the bridge.
 

Also on September 2, 2014 ASML announced a second NXE:3300B customer had successfully completed wafer run tests, effectively replicating a similar ASML EUV stepper evaluation by IBM a few days earlier. Two mutually reinforcing validations of the controversial EUV program now provide elbow room for application support teams to gather test data, compare notes, and make recommendations on optimizing additional NXE:3300B improvements. Not surprisingly, in one week ASML's (NASDAQ) market price climbed from $94.92 on August 25, to a high of $100.28 on September 2, 2014.                   (Source: Bloomberg News)

The implications of innovative evolution in the semiconductor industry are manifold. As we are now fabricating semiconductor devices at the atomic scale, it's no secret the cost increases we have traditionally associated with the procurement of semiconductor process tooling are no longer incremental, but geometric. State of the art lithography systems traditionally costing several million dollars are now priced at $125 Million. The R&D costs previously absorbed by tooling vendors have become prohibitive and by necessity are being offset by investments made by industry heavy weights and consortiums. The consortiums have become the partner/stockholders of strategically positioned suppliers, requiring extraordinary capital to sustain both advanced R&D, and timely delivery of current generation product tooling. That said, we might question the multi-billion dollar investments made in ASML and debate the percentages allocated for EUV development verses customer/investor prepayments ensuring delivery of current 193i technology. The decade long odyssey of the EUV program illustrates that criticism of either investment scenario is mute given the barriers of conventional physics yet to be overcome.



Historical Perspective on EUV

The quest for EUV has been an on-going (and very interesting) drama. In considering the original strategy for the pursuit of next generation lithography and light sources, the EUV LLC (a consortium comprised of Intel, Motorola, AMD and Micron Technology) made a strategic move in 1994 when it funded the Virtual National Laboratory (a consortium of Lawrence Livermore, Lawrence Berkeley and Sandia National Laboratories) to develop the first Laser Produced Plasma EUV light source (LPP/EUV). Recognizing that any EUV program would challenge conventional physics and prove unpredictable, it made sense to approach the DOE and our national laboratories to perform the heavy R&D lifting and develop the foundation for EUV technology. The alternative for the semiconductor industry would have been to enter the realm of theoretical high energy physics and compete with the US Department of Energy and the national laboratory establishment at a prohibitive cost. The $250 Million investment made by EUV LLC seemed to pay off as the National Ignition Facility at Lawrence Livermore soon constructed an operable Laser Produced Plasma test platform which vaporized particles of tin (Sn), releasing EUV light as a byproduct. ASML later pursued membership in EUV LLC, assimilated the NIF's R&D findings, and launched it's own follow-on program toward commercialization of production EUV stepper/scanners. Given these historic accounts of the EUV program, we might ask “What went wrong?"  What alternate strategy might have better optimized the technology transfer from VNL/NIF and delivered a more viable EUV process technology?

I'm not privy to the terms and conditions of the original contract between EUV LLC and the Virtual National Laboratory, but one might expect that semiconductor manufacturers like Intel, Motorola, AMD and Micron Technology would have structured any R&D contract with performance specifications normally attributed to semiconductor process tooling (it's likely they did). These specifications historically encompass precision lithography performance requirements, mean time between failure goals (MTBF) and more recently particulate control specifications anticipated for <20nm critical dimensions (CDs). While the national laboratories are not semiconductor equipment suppliers, given the $250 million cost of the three year contract, it would have seemed appropriate to hold the VNL/NIF to a lithography performance specification inclusive of source power output, and MTBF requirements for a final proof of design. Delivery of key performance criteria is the norm for most high profile development contracts. As it turned out, the convergence of expertise among the NIF and the semiconductor community illustrated the difficulties in integrating innovations in high energy physics with the demanding environments and requirements of process tooling encountered in nanometer scale production wafer fabs. NIF engineers were originally tasked with designing a fusion energy project and weapons testing platform with the goal of sustaining a controlled fusion reaction. The NIF facility currently fires 500 tera watt laser pulses at a single deuterium pellet housed in a test chamber 30 feet in diameter in pursuit of this goal. Although power output was a concern when designing the first successful LPP/EUV light source, it appears that in a group routinely producing terrawatts of energy, no one at NIF anticipated the difficulty in scaling EUV output power to currently required levels exceeding 200 watts. One might ask if EUV LLC took delivery of the LPP/EUV package prematurely, accepting a prototype with yet to be achieved power levels. However, given the conceptual success of the NIF LPP/EUV test platform prototype, it appears there was sufficient confidence the semiconductor industrial complex (yes, there is one) could resolve the remaining engineering issues unique to its domain. Enter ASML.

ASML quickly joined EUV LLC and pursued the EUV program with great ambition, expertise and an acknowledged element of risk. Recognizing the significance of the future market prospects for EUV stepper tooling, ASML began the task of commercializing the EUV/LPP source technology developed at the NIF. In doing so, ASML assimilated NIF's conceptual EUV source designs, inclusive of the challenging obstacles of tin particulate control, increased source power output and extended uptime requirements. Anticipating future technology requirements, ASML later acquired Cymer to ensure a viable supply chain of key laser components. Although the original intention was to speed development and delivery of HVM/EUV technology, conventional physics has limited the performance of LPP sources currently offered by ASML. Current shipments of low power (20-40 watt) developmental tools are being utilized to characterize materials, resists, masks and machine to machine process precision. It was thought that LPP/EUV source power output could be developed concurrently with process characterization, such that both would converge and intersect on the HVM insertion time line. However, as we know from recent events, achievement of HVM power level output with LPP/EUV remains problematic with no visible short term solution.


In the interim there has been success in extending the utility of current 193i lithography using multiple patterning techniques. Some resist developers are also formulating compounds which exhibit greater sensitivity to EUV, reducing the power levels required for 13.5nm lithography. It is hoped more sensitive resists will help close the gap on EUV power level requirements, but such techniques can also introduce unwanted shot noise phenomena in some process nodes. Although ASML has met with success in providing customers with pilot line EUV characterization tools and viable process technology, it must carefully consider it's next strategic direction in the market for both short and longer term EUV product offerings. The current NXE:3300B steppers are a valuable bridge between current EUV system designs and future higher power HVM configurations. I suspect there is recognition that the current LPP/EUV source design may see additional incremental improvement, but will be sidelined once more viable technology is proven. What might it be?


Next Generation EUV

Given that ten years have passed with no resolution to current EUV engineering obstacles, the industry has been searching for a viable source alternative. As we consider alternatives, we must first identify the factors limiting EUV power. Currently a solid state "pre-pulse" laser and a second, high energy CO2 laser are fired at micron sized tin (Sn) pellets, evaporating them and releasing EUV light as a byproduct. Knowledgeable sources have informed me that the currently employed CO2 lasers are at or near the maximum of their pulse rate capabilities, effectively limiting further power output. Even if more CO2 laser power becomes available, there are practical limitations on the feed rate of Sn (tin) target material and the inherent energy conversion factor for tin which approximates 4 to 5%. Further complications can result from higher LPP source power levels as the rate of particulate contamination increases in approximate proportion with increased laser power, reducing the available up time of the stepper (MTBF). An optimal EUV source design should provide 13.5nm light at power levels >200 watts, consistent with current and future lithography requirements while eliminating the requirement for Sn (tin) and consequential concerns with particulates.

Over the years I've followed the progress of the EUV lithography program and in light of recent conference discussions, I like many have speculated on what developmental barriers have delayed it's introduction. What alternative technologies might there be? The synchrotron light source at the Lawrence Berkeley CXRO (Center for X-ray Optics) produces less than twenty watts of EUV light, and is currently utilized as an illumination source for a SEMATECH sponsored actinic EUV wafer inspection tool. While sufficient for metrology purposes, twenty watts of EUV is insufficient for HVM lithography. Earlier this year I questioned why a larger EUV synchrotron couldn't be built to supply HVM power level requirements > 200 watts. There have also been conference discussions among many which see promise for free electron laser (FEL) technology which could provide required, higher EUV power levels. If a more powerful synchrotron is not feasible, why hasn't recent free electron laser (FEL) EUV technology progressed beyond the level of conference discussions?  I obtained clarification on these topics earlier this year in an April email exchange with Lawrence Berkeley CXRO's Director, Patrick Naulleau, Ph.D. Patrick explained that "Synchrotrons cannot get to the required power levels. With FELs (free electron lasers), the physics shows that there is absolutely no problem getting to the powers needed, but all existing machines have been designed for scientific applications requiring very short pulses and extremely high peak powers instead of high average power as required for litho, so a new machine would need to be built. There have been several talks on this front including at SPIE this year (2014) and SPIE 2011."  Patrick's clarification on the status quo was insightful and motivated a follow up telephone call in which I asked, (paraphrasing our discussion) "If it's possible to build a FEL with sufficient power for EUV lithography, why hasn't anyone built one given the delays in the current program?  Patrick explained that although scientific FELs are being built around the world, a system as required for EUV lithography does not yet exist and would require significant technological resources and several years to design and build.
 

What is a free electron laser and how is it different from conventional lasers? To answer this question we must again entertain the convergence of the high energy physics community with the semiconductor industry and discuss recent innovations in technology. In previous and current generation stepper and scanner systems, it's been common to utilize laser light sources producing the desired wavelengths required for semiconductor photolithography. In current 193nm lithography systems, an argon fluoride (ArF) laser produces the light. The laser light produced is monochromatic, of sufficient brilliance and provides many hours of trouble free uptime. It would seem this simplistic approach might be applied to EUV lithography. Why not build an EUV laser with a wavelength of 13.5 nanometers? This has not been possible due to limitations in physics. The highly reflective optics required for laser efficiencies have yet to be created for EUV spectra. Current Bragg cell mirrors reflect EUV with only 90% efficiency. However, FEL is a game changer. Some history and an analogy:
 

The term LASER is an acronym for Light Amplification by Stimulated Emission of Radiation. The first solid state, 694nm synthetic ruby laser produced at Hughes Research in 1960, utilized xenon flash lamps to inject high energy photons throughout the core of a ruby rod, stimulating the emission of photons from its lattice structures. Lasers operate at specific wavelengths which are determined by the seed (or lazing) material's inherent spectral signature. The 694nm wavelength is derived from the band gap emissions of the ruby's crystalline composition. We might compare the ruby crystal in this laser with a quartz crystal in a radio which determines its operational frequency. Accordingly, we might otherwise assign “channel I” as an identifier of I-line photolithography operating at 365nm.


A Radio Logical Analogy

A radio transmitter's frequency has historically been controlled by quartz crystal elements. Y-cut quartz crystals oscillate (vibrate) at specific frequencies which are dependent upon their thickness. The thinner the crystal, the higher the frequency obtained. Inversely, the thicker the crystal, the lower the frequency obtained. Passing an electric current through a quartz crystal induces it to oscillate at its inherent resonant frequency, so determined by its thickness. The frequency produced is extremely stable and the resulting wave form is of high purity, providing an excellent medium for control of radio transmission frequencies and instrumentation. Crystals also produce harmonic frequencies. A harmonic is a multiple of the fundamental frequency. A crystal oscillating at 3 MHz will also produce a weaker signal at 6MHz (its second harmonic frequency), and a still weaker third harmonic at 9MHz. When impractical to manufacture crystals at their desired fundamental frequencies, "third overtone" crystals are often utilized to provide a harmonic frequency which can be sufficiently amplified and utilized as an effective fundamental frequency, thus extending the upper limits (and our usage) of the radio spectrum. Even with clever engineering over the years, radio frequency control became problematic as multi-channel communication systems evolved, requiring large banks of crystals to span a given range of frequencies; one crystal required for each channel frequency. Rather than utilize thousands of crystals to span the radio spectrum, communications equipment evolved to employ a frequency control device called a VFO; a variable frequency oscillator. In this scenario, several fundamental frequency crystals and specially designed varactor diode/phased locked loop circuits comprise a heterodyne oscillator. Such an oscillator can generate a wide range of possible frequency combinations by mixing (heterodyning) the output obtained from the crystals to produce the desired sum/difference of their frequencies by way of constructive or destructive interference. As a VFO radio tuning dial is manipulated, it changes one of the mixing frequencies to produce the desired sum/difference operational frequency for both transmitter and receiver. The advantage to such a design is that the transmitter and receiver frequency track together as the dial is tuned, eliminating the need for separate transmitter/receiver controls, and thousands of individual crystals. The conceptual use of both harmonic and sum/difference frequency synthesis has found its way into many applications in physics and electronics.

Free Electron Laser Fundamentals

Imagine that we might adjust/control a laser's wavelength using a concept similar to a VFO but with a different set of physics. By electronically tuning a laser's wavelength, we can eliminate the need for specialized crystalline, gaseous or other lazing materials and operate outside the spectral band segments they are physically limited to. FEL technology can produce wavelengths of light ranging throughout the microwave and visible spectrum through the x-ray regime. A free electron laser is comprised of a large beamline/electron source which accelerates electrons to near the speed of light. On opposite sides of the electron beam line are interposed field coils of opposing polarity called undulators or "wigglers", which when energized establish a transverse sinusoidal field across the beam path. Electrons accelerated into the transverse field produce incoherent photons which collect in the bottom of the sinusoidal wave form in “bunches” and emit photons at wavelengths determined by their acceleration and the transverse field strength (synchrotron radiation). By adjusting the electron beam energy or or the magnetic field strength of the undulators, the wavelength of the emitted photons can be tuned selectively to produce coherent light.  Variations on this concept have evolved as follows:

Tunable SASE FEL

A SASE FEL is able to produce laser light over a broad range of spectrum without the requirement for conventional lazing materials such as ruby crystal or argon fluoride etc. In a tunable SASE (Self Amplified Spontaneous Emission) FEL, high energy source electrons passing through an undulator can produce an assortment of incoherent photons (initially at randomly different wavelengths) which become bunched in the transverse sine wave and interact via constructive or destructive interference, producing incidental derivative wavelengths (spontaneous emission). That is to say the bunched photons add and subtract their wavelength values from one another producing new sum/difference valued photons at the mathematically resulting wavelengths. When tuned to a specific wavelength of interest by adjusting the electron beam energy or the magnetic field strength of the undulators, such subsequently produced photons arrive in phase and cumulatively intensify to release high energy coherent laser light (self amplification). While a very useful concept for a variety of applications, the spontaneous emission in a SASE FEL can propagate statistical artifacts resulting from the mathematical sum/difference phenomenon inherent in its nature, and consequently produces a beam exhibiting limited shot to shot reproducibility. As such, the utility of a SASE FEL might be limited in applications which require extremely accurate dosimetry. The limited shot to shot reproducibility might also contribute to the dosimetry phenomenon known as “shot noise”.


Tunable HGHG FEL

FEL performance can be improved and modified by utilizing an external seed laser as a source wavelength. The seed laser is a conventional laser utilizing a material such as ruby crystal (one example) to produce a monochromatic feed source of photons. In an HGHG (High Gain Harmonic Generation) FEL, the seed laser interacts with the electron beam as it propagates through the first undulator (called a modulator), tuned to the seed laser's wavelength. The resulting interaction induces coherent modulation of the electron beam energy, creating photon bunching and consequential harmonic propagation (photons which are the mathematical multiples of the seed laser's wavelength). The micro-bunched beam of photons are then injected into a long undulator tuned to the desired harmonic wavelength. The desired wavelength comprised of harmonically produced photons arrive in phase and cumulatively intensify to release high energy coherent light at the newer, shorter wavelength of interest. A recent FERMI paper illustrates 500 shot reproducibility of 8th harmonic spectra at 32.5nm (obtained from a 260nm seed laser) exhibiting normalized photon/energy stability in the order of 7x10^-5 (root mean square), a marked improvement over previous SASE FEL data obtained over the same photon energy range. The high purity monochromatic spectra of an HGHG seed laser improves the system's shot to shot repeatability as its mode of operation does not incur the statistical deviation phenomena found in spontaneous emission spectra typically observed in a SASE FEL. As such, an HGHG FEL might be more advantageous for use in applications requiring highly precise dosimetry, possibly reducing shot noise phenomenon. 


FERMI@Elettra

A major FEL program, FERMI @ Elettra FEL at Sincrotrone Trieste (in Trieste Italy), is in preliminary operation with more construction and testing to follow. The advanced Elettra FEL beam line design called F-2 employs the above described HGHG approach in which harmonically generated photons yielded from the first stage can be amplified and accelerated in the second stage. Using this methodology, laser wavelengths need not be fixed as currently determined by crystalline (or other lazing) materials. Further, harmonic upscaling can extend the spectral range of the beamline, yielding wavelengths and power levels previously unobtainable. By adding additional undulator stages in series, harmonicly generated photons from the primary stage may again be directed through a second undulator stage, enabling the extraction of yet higher wavelength harmonics. This HGHG FEL configuration is called a “seeded harmonic cascade.” As mentioned previously, a recent paper published by FERMI illustrates a 260nm seed laser inducing an HGHG FEL to yield an eighth harmonic at 32.5nm. Recently in June 2014, the FEL-2 beamline produced 4nm light at an average energy of 10 micro-Joules per pulse. The output was sufficiently intense to produce a third harmonic at 1.3nm (click on the individually listed milestone items for detailed information). Additional information and technical papers as emailed to me by Luca Giannessi, Head of FERMI Machine Physics, states that higher energies per pulse may be achieved at longer wavelengths from 10nm-40nm, typically at 80-120 microJoules per pulse. The beamline's pulse frequency normally operates at 10 Hz, but the the linac and RF systems are designed and commissioned to operate up to 50 Hz. Typical power levels of 1 GW (peak power) can be estimated assuming a pulse length approximating 100 femtoseconds (femto = 10^-15). An earlier paper on the Commissioning and Initial Operation of FERMI@Elettra contains a performance report in which Table 1 indicates the FEL-2 beamline produced wavelengths of interest from 20nm to 4nm.  Longer wavelength spectra at energies of 1.5GeV can be achieved at a peak current of 800A.  As Patrick Naulleau noted, these energies are obtained with very short pulses and a special FEL design (or perhaps a modification of the FEL's operational parameters) would be required to provide the higher average power levels required for 13.5nm HVM/EUV.

Interestingly, in 2006 the management group at Sincrotrone Trieste who operate the light source facility, selected members from Lawrence Berkeley's Accelerator and Fusion Research Division's Center for Beam Physics along with its Engineering Division, to lead the design effort for  FERMI@Elettra. The project was a collaborative effort among scientists at Trieste, Massachusetts Institute of Technology, and Stanford Linear Accelerator Center (SLAC). The National Ignition Facility considered, it seems this collaboration is a great fusion of resources which will open many new doors of scientific discovery.  Congratulations to our friends at Trieste on the commissioning of this on-going project, and to the cooperative teams of scientists and engineers who helped design, build and maintain its operation. The work at Trieste continues with new research in progress as the construction continues.


Closing the EUV Power Gap

It was originally estimated that 250 watts of EUV would be required to dose resist coated wafers at 15 - 20 mJ/cm2 in an HVM process environment. Recently, Chandra Sarma (Intel Assignee), Project Manager for Advanced Material Research at SEMATECH announced the development of a new EUV resist based on metal oxide particles. The new resist has produced imaging with 20nm resolution with EUV dosimetry less than 2 - 3 mJ/cm2, potentially lowering the power and dose requirements for ASML's NXE:3300B (provided all related process windows are operable within this dosage range).

On September 19, 2014 during an email exchange with Patrick Naulleau, Director of Lawrence Berkeley CXRO, we discussed FEL technology and current progress on the new EUV resist.

Patrick shared these observations:

“The progress in metal oxide resists is very exciting, but to make a viable resist takes more than just high sensitivity, we need to simultaneously meet sensitivity, LER, and resolution requirements. Physics tells us that all other things being equal increased sensitivity will come at the cost of LER, but this trade off can to some extent be mitigated by increased absorptivity which is where metals come in. In the end, however, one can never absorb more than 100% and in practice even that would not be feasible. This fact fundamentally limits how fast you can make a resist. So although metal containing resist are a very promising part of the solution, they should not be seen as obviating our need for increased EUV source power, only slowing the increase”.


The Challenge Ahead

Large scale projects are underway to build FEL systems to accommodate a wide range of wavelengths and scientific applications. FEL is next generation laser technology which is perhaps the best candidate to replace the LPP/EUV source designs currently offered by ASML. The good news is that many new FEL programs are in progress and one might provide a viable, shorter term solution for HVM/EUV lithography. The caveat is that more time and money will be required.

The subsequent challenge for any future FEL/EUV initiative, is that once again the convergence of the semiconductor industry and our national laboratory community will be required to deliver future lithography source technology for EUV and beyond. EUV LLC might regroup and again approach the DOE or contract a beamline with FERMI@Elettra (or SLAC) to develop a specialized FEL source, compact in size with sufficient power to enable future EUV lithography requirements. Lawrence Berkeley Lab is part of a DOE consortium currently working on LCLS-II (Linac Coherent Light Source-II) to be installed at SLAC. This new FEL will approximate what might be required for an EUVL machine and could be a conversation starter with a group such as EUV LLC. Accordingly, care should be taken to ensure that any new EUV LLC contractual initiative establishes a clearly defined set of deliverable objectives which are consistent with the demands of semiconductor high volume manufacturing. That said, if the pursuit of FEL technology is warranted, ASML must again participate as a major player within any EUV LLC alliance, or chart its own course (perhaps with current investor/customers on board) in alternative future EUV source development. ASML has taken the lead in providing viable interim EUV technology permitting the characterization of materials, resists, masks and process precision required for future generation lithography. Double patterning techniques utilizing 193i lithography will continue to enable CDs =<10nm. We can also speculate how 13.5nm double patterning might enable future nodes and continued process development.

The ASML EUV initiative has enabled the ground work our industry requires for the new continuum of lithography ahead. Let's work together to secure next generation EUV and the continued evolution of Moore's Law.


I'd like to thank Patrick Naulleau, Ph.D., Director, CXRO, Lawrence Berkeley National Laboratory and Luca Giannessi, Head of FERMI Machine Physics at FERMI@Elettra FEL for their gracious responses to my inquiries while preparing this article.

Much more will be discussed on advanced laser development at SPIE/COS Photonics Asia 2014 in Beijing, October 9-11. A major segment of the program will feature high power lasers and applications. Visit spie.org for more information and registration. Please join me in supporting the National Photonics Initiative, SPIE and the International Year of Light 2015.

Thomas D. Jay
Semiconductor Industry Consultant

Thomas.Dale.Jay@gmail.com
www.ThomasDaleJay.com
Thomas D. Jay YouTube Channel


http://www.linkedin.com/in/thomasdjay/

http://www.youtube.com/watch?v=as4BFjU5MN0&list=UU8T5Lc8XntcOTYqgXLJbwig

 
 
www.lightourfuture.org












www.spie.org


http://spie.org/x93905.xml












Corporate, private entities or publications referenced or linked in this article are the respective owners of their logos, trademarks, service marks, media content and intellectual property.  Unless otherwise disclosed, Thomas D. Jay has no financial interest in companies referenced in blog articles or other published media communications. No representation is made to either buy or sell securities. Opinions expressed by Thomas D. Jay are his own. Thomas D. Jay does not employ or otherwise utilize/authorize third party agents to express his opinions, represent his interests or conduct business on his behalf except where formally contractually designated.

Acknowledgements and Reference Links
 

IBM's Watson now conducting scientific research 
Bloomberg News

Apple and IBM 

IBM

Watson teams up with Seri? 

Apple Computer

ASML announced a second NXE:3300B customer had successfully completed wafer run tests 

ASML

EUV LLC

Lawrence Berkeley CXRO

Free Electron Laser (FEL) 

Wikipedia

The first solid state, 694nm synthetic ruby laser produced at Hughes Research in 1960

Wikipedia

A free electron laser 

Wikipedia

FERMI @ Elettra FEL 

FERMI@Elettra

Recently in June 2014, the FEL-2 beamline produced 4nm light at an average energy of 10 micro-Joules per pulse.

FERMI@Elettra

Performance report 

FIRMI@Elettra


Chandra Sarma
SEMATECH

Interestingly, in 2006 
Lawrence Berkeley National Laboratory

Many new FEL programs are in progress

spie.org



Related blog articles of interest
by Thomas D. Jay

August 2014
The EUV Continuum - Have You Seen the Light?

June 2014
Semiconductor Industry Markets in the Economic Hay Stack

March 2014
A Perspective on EUV Lithography Feb. 2014
The NIF Shot Heard Around the World

November 2013
The Cloud of Nations

August 2013
The SCRUM of All Fears 

January 2013

Tuesday, August 19, 2014

The EUV Continuum - Have You Seen the Light?




http://www.youtube.com/watch?v=as4BFjU5MN0&list=UU8T5Lc8XntcOTYqgXLJbwig It is August, 2014. Semicon West has long past and from an EUV perspective not much has changed. Another year, another conference series, and still no news to report on high power EUV product offerings other than another forward looking statement from ASML anticipating >100 watt EUV power levels at Semicon West next year. Recently developed EUV resists formulated at Lawrence Berkeley's CXRO have been a bright spot in recent developments.

It would seem the past ten years have been a repeating loop in which the on-going investment in EUV technology has yet to yield results commensurate with the engineering tours de force resident at ASML and the consortium of semiconductor manufacturers who have become its major stock holders. The last major engineering enhancement credited with increasing EUV source power was the fine tuning of a pre-pulse laser, providing a few additional watts but still short of required HVM power levels. How will EUV power output be optimized to required HVM power levels? At the moment, there are no clear answers. 

The multi-billion dollar semiconductor industry that has sustained Moore's Law continues to finance research and development over a multitude of technologies which will collectively enable 7 nanometer process technology and future picometer pursuits. It is a given that major players in the semiconductor equipment industry have deep pockets with which to market capital intensive technologies while quietly developing next generation products in a less than optimal economy.  Collectively the semiconductor manufacturers and the equipment industry exhibit massive economic momentum which occasionally slows to assimilate new markets and pre-position next generation technology products. This massive economic momentum also foments evolutionary technology championed by industry visionaries. Long term investors familiar with the semiconductor market segment have become adept at reading the strategies of key industry players, drawing confidence from past performances, and the solutions to seemingly unsolvable engineering challenges to Moore's Law. Although EUV technology has yet to yield HVM performance, the sheer momentum of the industry will sustain alternate technologies as interim solutions to the EUV dilemma. ASML has maintained its leadership in the lithography markets by optimizing current 193nm lithography with multiple patterning techniques, providing half pitch resolution with sufficient precision to accommodate challenging process nodes  =<10nm. As such, ASML will continue to enjoy leadership positioning in the 193nm markets while seeking engineering solutions which will ultimately enable higher power EUV. Directed self assembly techniques (DSA), and Nano-Imprint Lithography (NIL) continue to gain acceptance and process share as these technologies mature.

In observance of the ten year EUV odyssey, we should pause to reflect on the industry and its steadfast pursuit of EUV technology despite continual reported delays and setbacks in the program. Teams of Ph.D. researchers and engineers conduct a relentless effort to improve the performance of key manufacturing systems, continually upgrading the production, precision and metrology required to produce consumer products by mass assembly on an atomic scale.  EUV technology is recognized as a key enabler to lowering production costs by providing superior nanometer scale imaging and reducing the number of cost intensive mask levels for a given product. For the past ten years we have observed incremental progress in EUV and the infrastructure required to facilitate its HVM insertion.

Over the years, the cost of R&D associated with semiconductor process development and related lithography tooling has risen dramatically. Thus far, such cost barriers have been overcome by the efficiently pooled resources of the semiconductor industry and equipment suppliers, reducing costs by sharing resources and the associated expense burden. Historically, SEMATECH has lead many successful technology initiatives bringing complex R&D programs to operational status in the wafer fab. Other groups such as the G450C have teamed to provide the capital and engineering expertise required to meet the future 450mm HVM insertion time line.

If we find ourselves disappointed with current developmental efforts in EUV, what then might we consider newsworthy?  In a July 10, 2014, IBM press release, plans were announced for the company to invest $3B over the next five years on advanced semiconductor technologies.  Historically, IBM's R&D expenditures have averaged $6 Billion annually, spread over many disciplines. The commitment of an additional $3 Billion suggests a 10% increase in IBM's R&D program over the next five years. As IBM intends to make investments critical to future semiconductor device design (and by linkage required lithography techniques), is it possible that IBM will conduct its own initiative to further the development of EUV (Extreme Ultra Violet) light source technology?  High power EUV must be proven reliable to ensure the availability of future 13.5nm lithography HVM.  On July 25, 2014, I emailed the IBM media contact referenced in the news release, seeking clarification on IBM's $3 Billion budget increase announcement. My inquiry is currently unanswered, however on July 29, 2014, Dan Corliss, IBM's EUV Lead Technologist and Program Manager for Lithography R&D, announced a recent test in which their NXE:3300B stepper had been upgraded with a 44 watt EUV light source (as measured at the intermediate focus) and had produced 637 wafer exposures in “normal production mode”. No doubt, this announcement was intended to renew enthusiasm in the EUV program and highlight IBM's participation in an on-going industry wide effort.  However, the news quickly drew skepticism and later criticism when it was learned that the NXE:3300B's actual run rate was 34 wafers per hour, inclusive of two system “process interrupts” during the 24 hour test. Two industry analysts injected further criticism pointing out the EUV energy/dosimetry was insufficient for HVM and that blank wafers were used for the test, yielding no real data for viable analysis. Suggestions were made that the reports of the test results were misrepresented and that stock holders investing in EUV semiconductor lithography were possibly being mislead.

Let's step back for a moment and consider this latest IBM test in context with historic EUV light source development. Since the inception of the EUV program at the National Ignition Facility over ten years ago, EUV power levels (as measured at the intermediate focus of lithography tools) have yet to achieve sustained >150 watt power levels required for HVM (High Volume Manufacturing).  Although there have been reports of higher output power levels approximating 100 watts, these results represent peak power levels observed for brief periods which have not been sustainable during extended operational tests. More recent EUV source shipments from ASML have demonstrated EUV power levels of 25 watts with newer upgrades enabling 40 watt capabilities as recently reported by IBM. The ten year reporting history of the EUV program reflects the power limitations imposed by conventional physics and our struggle to rewrite the rules. We've modified the rules previously with man made additions to (and harvesting of) the periodic table proving hafnium is better than none. But, in the realm of semiconductor manufacturing, a fifty percent EUV power solution is unacceptable. The recent IBM test was part of a continuing effort to evaluate the incremental improvements made to EUV source technology and should not be interpreted as a failure.

In previous blog articles I've proposed solutions to resolving EUV power output limitations utilizing dual or multiple source designs. Multiple source designs utilized in previous EUV prototypes did not appear to accommodate multiple light source matching and optimal Etendue. Achieving efficient Etendue might appear challenging.  However, utilizing Bragg cell mirrors it's possible that two (or more) EUV light sources might be simultaneously focused and phased within a single stepper IF. That considered, the total system MTBF (Mean Time Between Failure) might still be problematic as both sources will generate contaminating tin particulates which coat mirrors and critical wafer target surface areas. This phenomenon resulting in source/system/mirror contamination might be the limiting factor in Sn (tin) based LPP (Laser Produced Plasma) source technology.

To date, no one I've spoken with has an acceptable answer for how EUV power might be scaled to required HVM levels given current ASML LPP source designs. I'm sure we'd all be pleased to see ASML wheel a secret, high power EUV/HVM prototype onto the test lab floor, but over the past ten years many in the industry have become quite skeptical.

The larger question remains, why has the EUV program stalled and when will a technology break through occur? Over the years we have seen many semiconductor manufacturers and equipment vendors independently own and operate R&D programs. While there is great economy of scale in the collective funding of R&D by the large consortiums and foundry alliances, the investment in a singular technology as determined by committee vote can displace the valuable pursuit of multiple design concepts, effectively reducing opportunities for new scientific discovery and timely delivery of process solutions.

Given the newly announced R&D initiative by IBM, I will site an example worth revisiting.  During the late 1970's, semiconductor manufacturers recognized that greater control was required in diffusion tube processing utilizing dopant gases. It was realized that more precise control of dosimetry was required and a next generation process solution was considered. IBM released a request for quotation (RFQ) to equipment vendors for a high current ion implanter capable of ionizing dopant gases (typically boron, phosphorus and arsenic) and implanting the high energy ions directly in wafer substrates.  As there were no manufacturers of high current ion implanters at the time, no one bid on the IBM request. Given a no bid response, IBM engineers designed and built their own high current ion implantation system they called the Tachonic series (named after the surrounding Tachonic mountain range formations). Using off the shelf commercial parts where possible, a highly skilled IBM engineering group assembled (at great expense) a high current ion implantation system featuring mechanical beam scanning and precise dosimetry control. Several of the systems were built and were later retired when commercially manufactured systems became available. During the Tachonic series service lifetime, IBM experimented and mastered the mitigation of CMOS wafer surface charging with electron flood guns. Interestingly, AT&T Technologies and General Electric also produced their own similar (but different) high current ion implanters utilizing mechanical beam scanning techniques. There were no consortiums funding any singular concept for high current ion implantation hardware, and innovative designs soon gave birth to a high current implant industry. IBM's July 10, 2014 press release celebrates the many contributions it has made to the semiconductor manufacturing industry inclusive of process control, wafer fabrication technique and specialty tooling required for HVM. Could it be that the consortium of Intel, TSMC and Samsung funding EUV development at ASML has unintentionally displaced competitive R&D?  By accident or design, this is what has happened.

How might we shift gears and accelerate EUV development? The current EUV LPP program got its historic start when the Extreme Ultraviolet LLC (Intel, Motorola, Advanced Micro Devices and Micron Technology) contracted the DOE/Lawrence Livermore Labs to develop an LPP EUV source for the semiconductor industry. The decision was made that EUV was to be a laser based technology and consequently the EUV program evolved into the LPP platform currently marketed by ASML.

Early in my career I had the opportunity to visit Princeton Plasma Physics Laboratory and examine one of the first Tokamak fusion reactors there. The concern at the time was the inside surface wall of the reactor might be damaged by an unstable high temperature plasma. In later experiments at Princeton and fusion laboratories around the world, it was confirmed that turbulent plasma could be controlled using sheared flow techniques, reducing the potentially destructive effects of plasma contacting the chamber wall.

An innovative EUV source design introduced by a US based company called Zplasma utilizes z-pinch technology employing a patented sheared flow stabilization technique to produce both stable plasma pulse formation and 13.5nm EUV light emission.  Given the current LPP/EUV source design supplied by ASML/Cymer has yet to achieve HVM power levels, the EUV LLC consortium might want to pursue a similar EUV source development contract with Zplasma or a national laboratory experienced with z-pinch plasma technologies designed to optimize EUV output.  We must infuse new competitive thinking with competitive actions if we are to achieve a break through in EUV source power.  Hopefully IBM will contribute additional expertise to the EUV program given its increased R&D funding.  New inspiration and initiatives are needed to rekindle the diverse sources of innovation the semiconductor industry is known for.  

In the scheme of things we must consider how far we've advanced today's semiconductor technology.  Physicists at CERN in Switzerland operate a particle accelerator called the Large Hadron Collider. There on March 14, 2013 the existence of the theorized Higgs Boson was tentatively confirmed to have a mass of 125 GeV. The Higgs Boson is thought to impart the qualities of mass in matter and is sometimes referred to as “the God particle”. The search for the Higgs spanned 40 years and concluded after the construction of the Large Hadron Collider, costing an estimated $4.4 Billion (with a $9 Billion operational budget). By 2015 it is anticipated the acceleration energy at the LHC will reach 7 TeV, enabling particle collisions at 14 TeV. It seems ironic that on one hand physicists at CERN are utilizing high energy physics to smash and examine the components of sub-atomic structures, while semiconductor engineers implant ions at energies up to 2 MeV, purposefully creating sub-atomic lattice structures in flash memory cells. While we might debate “the God particle” reference ascribed to the Higgs Boson, the sound of Seri speaking from an iPhone must invoke a religious experience for her futurist creators.  It seems we're in a new line of business.

Please join me in supporting the National Photonics Initiative, SPIE and the International Year of Light 2015.

Thomas D. Jay 
Semiconductor Industry Consultant


http://www.linkedin.com/in/thomasdjay/

http://www.youtube.com/watch?v=as4BFjU5MN0&list=UU8T5Lc8XntcOTYqgXLJbwig

 
 
www.lightourfuture.org












www.spie.org


http://spie.org/x93905.xml












Corporate, private entities or publications referenced or linked in this article are the respective owners of their logos, trademarks, service marks, media content and intellectual property.  Unless otherwise disclosed, Thomas D. Jay has no financial interest in companies referenced in blog articles or other published media communications. No representation is made to either buy or sell securities. Opinions expressed by Thomas D. Jay are his own. Thomas D. Jay does not employ or otherwise utilize/authorize third party agents to express his opinions, represent his interests or conduct business on his behalf except where formally contractually designated.

Acknowledgements and Reference Links

ASML

Lawrence Berkeley CXRO

SEMATECH

G450C

IBM Press Release

National Ignition Facility

Princeton Plasma Physics Laboratory

Zplasma

CERN (Wikipedia)

Large Hadron Collider (Wikipedia)

National Photonics Initiative

SPIE

The International Year of Light 2015


Related blog articles of interest
by Thomas D. Jay

June 2014
Semiconductor Industry Markets in the Economic Hay Stack

March 2014
A Perspective on EUV Lithography Feb. 2014
The NIF Shot Heard Around the World

November 2013
The Cloud of Nations

August 2013
The SCRUM of All Fears 

January 2013