Translate

Saturday, September 20, 2014

Future FEL/EUV Strategy - The Light at the End of the Beamline


http://www.youtube.com/watch?v=as4BFjU5MN0&list=UU8T5Lc8XntcOTYqgXLJbwigThe technology of semiconductor manufacturing and the advanced sciences of high energy physics have converged to enable a previously unimagined world of possibilities. Moore's law has enabled technologies that were science fiction only a few years ago. Intelligent system designs and futurist imaginations have brought us Apple's Seri and Google on our smart phones, along with IBM's Watson, now conducting scientific research. With the recent marketing alliance of Apple and IBM, how long before an intelligent Watson teams up with Seri?  Update.  On December 15, 2014 Microsoft announced real time language translation for Skype conversations.  In a sense, we are now in the creation business, and the intelligent systems we create will enhance our ability to sustain Moore's Law or its derivative evolution. As we know from history, evolution takes time. Hence, a window on our current progress:
 

Significant time and investment have been made in the EUV program and delays in bringing this important technology to market have some citing recent test data and crying foul. To my knowledge, no investors were harmed by news of IBM's recent July 29, NXE:3300B EUV performance announcement. The recent criticism of the IBM test results and the EUV program voiced by a few semiconductor industry analysts should be taken in stride. The analysts in question derive their livelihood by optimizing the positions of their client companies and investors. In retrospect, these analysts might be regarded as friendly forces providing diversionary fire for profit takers exiting the market after the resulting, coincidental jump in IBM and ASML stock prices on August 2. It should be recognized that ASML's NXE:3300B and 193nm technology are bridges to next generation EUV lithography and beyond. The capital intensive construction of a major bridge project usually results in some form of toll. It should come as no surprise that ASML stock holders are profiting from the traffic crossing the bridge.
 

Also on September 2, 2014 ASML announced a second NXE:3300B customer had successfully completed wafer run tests, effectively replicating a similar ASML EUV stepper evaluation by IBM a few days earlier. Two mutually reinforcing validations of the controversial EUV program now provide elbow room for application support teams to gather test data, compare notes, and make recommendations on optimizing additional NXE:3300B improvements. Not surprisingly, in one week ASML's (NASDAQ) market price climbed from $94.92 on August 25, to a high of $100.28 on September 2, 2014.                   (Source: Bloomberg News)

The implications of innovative evolution in the semiconductor industry are manifold. As we are now fabricating semiconductor devices at the atomic scale, it's no secret the cost increases we have traditionally associated with the procurement of semiconductor process tooling are no longer incremental, but geometric. State of the art lithography systems traditionally costing several million dollars are now priced at $125 Million. The R&D costs previously absorbed by tooling vendors have become prohibitive and by necessity are being offset by investments made by industry heavy weights and consortiums. The consortiums have become the partner/stockholders of strategically positioned suppliers, requiring extraordinary capital to sustain both advanced R&D, and timely delivery of current generation product tooling. That said, we might question the multi-billion dollar investments made in ASML and debate the percentages allocated for EUV development verses customer/investor prepayments ensuring delivery of current 193i technology. The decade long odyssey of the EUV program illustrates that criticism of either investment scenario is mute given the barriers of conventional physics yet to be overcome.



Historical Perspective on EUV

The quest for EUV has been an on-going (and very interesting) drama. In considering the original strategy for the pursuit of next generation lithography and light sources, the EUV LLC (a consortium comprised of Intel, Motorola, AMD and Micron Technology) made a strategic move in 1994 when it funded the Virtual National Laboratory (a consortium of Lawrence Livermore, Lawrence Berkeley and Sandia National Laboratories) to develop the first Laser Produced Plasma EUV light source (LPP/EUV). Recognizing that any EUV program would challenge conventional physics and prove unpredictable, it made sense to approach the DOE and our national laboratories to perform the heavy R&D lifting and develop the foundation for EUV technology. The alternative for the semiconductor industry would have been to enter the realm of theoretical high energy physics and compete with the US Department of Energy and the national laboratory establishment at a prohibitive cost. The $250 Million investment made by EUV LLC seemed to pay off as the National Ignition Facility at Lawrence Livermore soon constructed an operable Laser Produced Plasma test platform which vaporized particles of tin (Sn), releasing EUV light as a byproduct. ASML later pursued membership in EUV LLC, assimilated the NIF's R&D findings, and launched it's own follow-on program toward commercialization of production EUV stepper/scanners. Given these historic accounts of the EUV program, we might ask “What went wrong?"  What alternate strategy might have better optimized the technology transfer from VNL/NIF and delivered a more viable EUV process technology?

I'm not privy to the terms and conditions of the original contract between EUV LLC and the Virtual National Laboratory, but one might expect that semiconductor manufacturers like Intel, Motorola, AMD and Micron Technology would have structured any R&D contract with performance specifications normally attributed to semiconductor process tooling (it's likely they did). These specifications historically encompass precision lithography performance requirements, mean time between failure goals (MTBF) and more recently particulate control specifications anticipated for <20nm critical dimensions (CDs). While the national laboratories are not semiconductor equipment suppliers, given the $250 million cost of the three year contract, it would have seemed appropriate to hold the VNL/NIF to a lithography performance specification inclusive of source power output, and MTBF requirements for a final proof of design. Delivery of key performance criteria is the norm for most high profile development contracts. As it turned out, the convergence of expertise among the NIF and the semiconductor community illustrated the difficulties in integrating innovations in high energy physics with the demanding environments and requirements of process tooling encountered in nanometer scale production wafer fabs. NIF engineers were originally tasked with designing a fusion energy project and weapons testing platform with the goal of sustaining a controlled fusion reaction. The NIF facility currently fires 500 tera watt laser pulses at a single deuterium pellet housed in a test chamber 30 feet in diameter in pursuit of this goal. Although power output was a concern when designing the first successful LPP/EUV light source, it appears that in a group routinely producing terrawatts of energy, no one at NIF anticipated the difficulty in scaling EUV output power to currently required levels exceeding 200 watts. One might ask if EUV LLC took delivery of the LPP/EUV package prematurely, accepting a prototype with yet to be achieved power levels. However, given the conceptual success of the NIF LPP/EUV test platform prototype, it appears there was sufficient confidence the semiconductor industrial complex (yes, there is one) could resolve the remaining engineering issues unique to its domain. Enter ASML.

ASML quickly joined EUV LLC and pursued the EUV program with great ambition, expertise and an acknowledged element of risk. Recognizing the significance of the future market prospects for EUV stepper tooling, ASML began the task of commercializing the EUV/LPP source technology developed at the NIF. In doing so, ASML assimilated NIF's conceptual EUV source designs, inclusive of the challenging obstacles of tin particulate control, increased source power output and extended uptime requirements. Anticipating future technology requirements, ASML later acquired Cymer to ensure a viable supply chain of key laser components. Although the original intention was to speed development and delivery of HVM/EUV technology, conventional physics has limited the performance of LPP sources currently offered by ASML. Current shipments of low power (20-40 watt) developmental tools are being utilized to characterize materials, resists, masks and machine to machine process precision. It was thought that LPP/EUV source power output could be developed concurrently with process characterization, such that both would converge and intersect on the HVM insertion time line. However, as we know from recent events, achievement of HVM power level output with LPP/EUV remains problematic with no visible short term solution.


In the interim there has been success in extending the utility of current 193i lithography using multiple patterning techniques. Some resist developers are also formulating compounds which exhibit greater sensitivity to EUV, reducing the power levels required for 13.5nm lithography. It is hoped more sensitive resists will help close the gap on EUV power level requirements, but such techniques can also introduce unwanted shot noise phenomena in some process nodes. Although ASML has met with success in providing customers with pilot line EUV characterization tools and viable process technology, it must carefully consider it's next strategic direction in the market for both short and longer term EUV product offerings. The current NXE:3300B steppers are a valuable bridge between current EUV system designs and future higher power HVM configurations. I suspect there is recognition that the current LPP/EUV source design may see additional incremental improvement, but will be sidelined once more viable technology is proven. What might it be?


Next Generation EUV

Given that ten years have passed with no resolution to current EUV engineering obstacles, the industry has been searching for a viable source alternative. As we consider alternatives, we must first identify the factors limiting EUV power. Currently a solid state "pre-pulse" laser and a second, high energy CO2 laser are fired at micron sized tin (Sn) pellets, evaporating them and releasing EUV light as a byproduct. Knowledgeable sources have informed me that the currently employed CO2 lasers are at or near the maximum of their pulse rate capabilities, effectively limiting further power output. Even if more CO2 laser power becomes available, there are practical limitations on the feed rate of Sn (tin) target material and the inherent energy conversion factor for tin which approximates 4 to 5%. Further complications can result from higher LPP source power levels as the rate of particulate contamination increases in approximate proportion with increased laser power, reducing the available up time of the stepper (MTBF). An optimal EUV source design should provide 13.5nm light at power levels >200 watts, consistent with current and future lithography requirements while eliminating the requirement for Sn (tin) and consequential concerns with particulates.

Over the years I've followed the progress of the EUV lithography program and in light of recent conference discussions, I like many have speculated on what developmental barriers have delayed it's introduction. What alternative technologies might there be? The synchrotron light source at the Lawrence Berkeley CXRO (Center for X-ray Optics) produces less than twenty watts of EUV light, and is currently utilized as an illumination source for a SEMATECH sponsored actinic EUV wafer inspection tool. While sufficient for metrology purposes, twenty watts of EUV is insufficient for HVM lithography. Earlier this year I questioned why a larger EUV synchrotron couldn't be built to supply HVM power level requirements > 200 watts. There have also been conference discussions among many which see promise for free electron laser (FEL) technology which could provide required, higher EUV power levels. If a more powerful synchrotron is not feasible, why hasn't recent free electron laser (FEL) EUV technology progressed beyond the level of conference discussions?  I obtained clarification on these topics earlier this year in an April email exchange with Lawrence Berkeley CXRO's Director, Patrick Naulleau, Ph.D. Patrick explained that "Synchrotrons cannot get to the required power levels. With FELs (free electron lasers), the physics shows that there is absolutely no problem getting to the powers needed, but all existing machines have been designed for scientific applications requiring very short pulses and extremely high peak powers instead of high average power as required for litho, so a new machine would need to be built. There have been several talks on this front including at SPIE this year (2014) and SPIE 2011."  Patrick's clarification on the status quo was insightful and motivated a follow up telephone call in which I asked, (paraphrasing our discussion) "If it's possible to build a FEL with sufficient power for EUV lithography, why hasn't anyone built one given the delays in the current program?  Patrick explained that although scientific FELs are being built around the world, a system as required for EUV lithography does not yet exist and would require significant technological resources and several years to design and build.
 

What is a free electron laser and how is it different from conventional lasers? To answer this question we must again entertain the convergence of the high energy physics community with the semiconductor industry and discuss recent innovations in technology. In previous and current generation stepper and scanner systems, it's been common to utilize laser light sources producing the desired wavelengths required for semiconductor photolithography. In current 193nm lithography systems, an argon fluoride (ArF) laser produces the light. The laser light produced is monochromatic, of sufficient brilliance and provides many hours of trouble free uptime. It would seem this simplistic approach might be applied to EUV lithography. Why not build an EUV laser with a wavelength of 13.5 nanometers? This has not been possible due to limitations in physics. The highly reflective optics required for laser efficiencies have yet to be created for EUV spectra. Current Bragg cell mirrors reflect EUV with only 90% efficiency. However, FEL is a game changer. Some history and an analogy:
 

The term LASER is an acronym for Light Amplification by Stimulated Emission of Radiation. The first solid state, 694nm synthetic ruby laser produced at Hughes Research in 1960, utilized xenon flash lamps to inject high energy photons throughout the core of a ruby rod, stimulating the emission of photons from its lattice structures. Lasers operate at specific wavelengths which are determined by the seed (or lazing) material's inherent spectral signature. The 694nm wavelength is derived from the band gap emissions of the ruby's crystalline composition. We might compare the ruby crystal in this laser with a quartz crystal in a radio which determines its operational frequency. Accordingly, we might otherwise assign “channel I” as an identifier of I-line photolithography operating at 365nm.


A Radio Logical Analogy

A radio transmitter's frequency has historically been controlled by quartz crystal elements. Y-cut quartz crystals oscillate (vibrate) at specific frequencies which are dependent upon their thickness. The thinner the crystal, the higher the frequency obtained. Inversely, the thicker the crystal, the lower the frequency obtained. Passing an electric current through a quartz crystal induces it to oscillate at its inherent resonant frequency, so determined by its thickness. The frequency produced is extremely stable and the resulting wave form is of high purity, providing an excellent medium for control of radio transmission frequencies and instrumentation. Crystals also produce harmonic frequencies. A harmonic is a multiple of the fundamental frequency. A crystal oscillating at 3 MHz will also produce a weaker signal at 6MHz (its second harmonic frequency), and a still weaker third harmonic at 9MHz. When impractical to manufacture crystals at their desired fundamental frequencies, "third overtone" crystals are often utilized to provide a harmonic frequency which can be sufficiently amplified and utilized as an effective fundamental frequency, thus extending the upper limits (and our usage) of the radio spectrum. Even with clever engineering over the years, radio frequency control became problematic as multi-channel communication systems evolved, requiring large banks of crystals to span a given range of frequencies; one crystal required for each channel frequency. Rather than utilize thousands of crystals to span the radio spectrum, communications equipment evolved to employ a frequency control device called a VFO; a variable frequency oscillator. In this scenario, several fundamental frequency crystals and specially designed varactor diode/phased locked loop circuits comprise a heterodyne oscillator. Such an oscillator can generate a wide range of possible frequency combinations by mixing (heterodyning) the output obtained from the crystals to produce the desired sum/difference of their frequencies by way of constructive or destructive interference. As a VFO radio tuning dial is manipulated, it changes one of the mixing frequencies to produce the desired sum/difference operational frequency for both transmitter and receiver. The advantage to such a design is that the transmitter and receiver frequency track together as the dial is tuned, eliminating the need for separate transmitter/receiver controls, and thousands of individual crystals. The conceptual use of both harmonic and sum/difference frequency synthesis has found its way into many applications in physics and electronics.

Free Electron Laser Fundamentals

Imagine that we might adjust/control a laser's wavelength using a concept similar to a VFO but with a different set of physics. By electronically tuning a laser's wavelength, we can eliminate the need for specialized crystalline, gaseous or other lazing materials and operate outside the spectral band segments they are physically limited to. FEL technology can produce wavelengths of light ranging throughout the microwave and visible spectrum through the x-ray regime. A free electron laser is comprised of a large beamline/electron source which accelerates electrons to near the speed of light. On opposite sides of the electron beam line are interposed field coils of opposing polarity called undulators or "wigglers", which when energized establish a transverse sinusoidal field across the beam path. Electrons accelerated into the transverse field produce incoherent photons which collect in the bottom of the sinusoidal wave form in “bunches” and emit photons at wavelengths determined by their acceleration and the transverse field strength (synchrotron radiation). By adjusting the electron beam energy or or the magnetic field strength of the undulators, the wavelength of the emitted photons can be tuned selectively to produce coherent light.  Variations on this concept have evolved as follows:

Tunable SASE FEL

A SASE FEL is able to produce laser light over a broad range of spectrum without the requirement for conventional lazing materials such as ruby crystal or argon fluoride etc. In a tunable SASE (Self Amplified Spontaneous Emission) FEL, high energy source electrons passing through an undulator can produce an assortment of incoherent photons (initially at randomly different wavelengths) which become bunched in the transverse sine wave and interact via constructive or destructive interference, producing incidental derivative wavelengths (spontaneous emission). That is to say the bunched photons add and subtract their wavelength values from one another producing new sum/difference valued photons at the mathematically resulting wavelengths. When tuned to a specific wavelength of interest by adjusting the electron beam energy or the magnetic field strength of the undulators, such subsequently produced photons arrive in phase and cumulatively intensify to release high energy coherent laser light (self amplification). While a very useful concept for a variety of applications, the spontaneous emission in a SASE FEL can propagate statistical artifacts resulting from the mathematical sum/difference phenomenon inherent in its nature, and consequently produces a beam exhibiting limited shot to shot reproducibility. As such, the utility of a SASE FEL might be limited in applications which require extremely accurate dosimetry. The limited shot to shot reproducibility might also contribute to the dosimetry phenomenon known as “shot noise”.


Tunable HGHG FEL

FEL performance can be improved and modified by utilizing an external seed laser as a source wavelength. The seed laser is a conventional laser utilizing a material such as ruby crystal (one example) to produce a monochromatic feed source of photons. In an HGHG (High Gain Harmonic Generation) FEL, the seed laser interacts with the electron beam as it propagates through the first undulator (called a modulator), tuned to the seed laser's wavelength. The resulting interaction induces coherent modulation of the electron beam energy, creating photon bunching and consequential harmonic propagation (photons which are the mathematical multiples of the seed laser's wavelength). The micro-bunched beam of photons are then injected into a long undulator tuned to the desired harmonic wavelength. The desired wavelength comprised of harmonically produced photons arrive in phase and cumulatively intensify to release high energy coherent light at the newer, shorter wavelength of interest. A recent FERMI paper illustrates 500 shot reproducibility of 8th harmonic spectra at 32.5nm (obtained from a 260nm seed laser) exhibiting normalized photon/energy stability in the order of 7x10^-5 (root mean square), a marked improvement over previous SASE FEL data obtained over the same photon energy range. The high purity monochromatic spectra of an HGHG seed laser improves the system's shot to shot repeatability as its mode of operation does not incur the statistical deviation phenomena found in spontaneous emission spectra typically observed in a SASE FEL. As such, an HGHG FEL might be more advantageous for use in applications requiring highly precise dosimetry, possibly reducing shot noise phenomenon. 


FERMI@Elettra

A major FEL program, FERMI @ Elettra FEL at Sincrotrone Trieste (in Trieste Italy), is in preliminary operation with more construction and testing to follow. The advanced Elettra FEL beam line design called F-2 employs the above described HGHG approach in which harmonically generated photons yielded from the first stage can be amplified and accelerated in the second stage. Using this methodology, laser wavelengths need not be fixed as currently determined by crystalline (or other lazing) materials. Further, harmonic upscaling can extend the spectral range of the beamline, yielding wavelengths and power levels previously unobtainable. By adding additional undulator stages in series, harmonicly generated photons from the primary stage may again be directed through a second undulator stage, enabling the extraction of yet higher wavelength harmonics. This HGHG FEL configuration is called a “seeded harmonic cascade.” As mentioned previously, a recent paper published by FERMI illustrates a 260nm seed laser inducing an HGHG FEL to yield an eighth harmonic at 32.5nm. Recently in June 2014, the FEL-2 beamline produced 4nm light at an average energy of 10 micro-Joules per pulse. The output was sufficiently intense to produce a third harmonic at 1.3nm (click on the individually listed milestone items for detailed information). Additional information and technical papers as emailed to me by Luca Giannessi, Head of FERMI Machine Physics, states that higher energies per pulse may be achieved at longer wavelengths from 10nm-40nm, typically at 80-120 microJoules per pulse. The beamline's pulse frequency normally operates at 10 Hz, but the the linac and RF systems are designed and commissioned to operate up to 50 Hz. Typical power levels of 1 GW (peak power) can be estimated assuming a pulse length approximating 100 femtoseconds (femto = 10^-15). An earlier paper on the Commissioning and Initial Operation of FERMI@Elettra contains a performance report in which Table 1 indicates the FEL-2 beamline produced wavelengths of interest from 20nm to 4nm.  Longer wavelength spectra at energies of 1.5GeV can be achieved at a peak current of 800A.  As Patrick Naulleau noted, these energies are obtained with very short pulses and a special FEL design (or perhaps a modification of the FEL's operational parameters) would be required to provide the higher average power levels required for 13.5nm HVM/EUV.

Interestingly, in 2006 the management group at Sincrotrone Trieste who operate the light source facility, selected members from Lawrence Berkeley's Accelerator and Fusion Research Division's Center for Beam Physics along with its Engineering Division, to lead the design effort for  FERMI@Elettra. The project was a collaborative effort among scientists at Trieste, Massachusetts Institute of Technology, and Stanford Linear Accelerator Center (SLAC). The National Ignition Facility considered, it seems this collaboration is a great fusion of resources which will open many new doors of scientific discovery.  Congratulations to our friends at Trieste on the commissioning of this on-going project, and to the cooperative teams of scientists and engineers who helped design, build and maintain its operation. The work at Trieste continues with new research in progress as the construction continues.


Closing the EUV Power Gap

It was originally estimated that 250 watts of EUV would be required to dose resist coated wafers at 15 - 20 mJ/cm2 in an HVM process environment. Recently, Chandra Sarma (Intel Assignee), Project Manager for Advanced Material Research at SEMATECH announced the development of a new EUV resist based on metal oxide particles. The new resist has produced imaging with 20nm resolution with EUV dosimetry less than 2 - 3 mJ/cm2, potentially lowering the power and dose requirements for ASML's NXE:3300B (provided all related process windows are operable within this dosage range).

On September 19, 2014 during an email exchange with Patrick Naulleau, Director of Lawrence Berkeley CXRO, we discussed FEL technology and current progress on the new EUV resist.

Patrick shared these observations:

“The progress in metal oxide resists is very exciting, but to make a viable resist takes more than just high sensitivity, we need to simultaneously meet sensitivity, LER, and resolution requirements. Physics tells us that all other things being equal increased sensitivity will come at the cost of LER, but this trade off can to some extent be mitigated by increased absorptivity which is where metals come in. In the end, however, one can never absorb more than 100% and in practice even that would not be feasible. This fact fundamentally limits how fast you can make a resist. So although metal containing resist are a very promising part of the solution, they should not be seen as obviating our need for increased EUV source power, only slowing the increase”.


The Challenge Ahead

Large scale projects are underway to build FEL systems to accommodate a wide range of wavelengths and scientific applications. FEL is next generation laser technology which is perhaps the best candidate to replace the LPP/EUV source designs currently offered by ASML. The good news is that many new FEL programs are in progress and one might provide a viable, shorter term solution for HVM/EUV lithography. The caveat is that more time and money will be required.

The subsequent challenge for any future FEL/EUV initiative, is that once again the convergence of the semiconductor industry and our national laboratory community will be required to deliver future lithography source technology for EUV and beyond. EUV LLC might regroup and again approach the DOE or contract a beamline with FERMI@Elettra (or SLAC) to develop a specialized FEL source, compact in size with sufficient power to enable future EUV lithography requirements. Lawrence Berkeley Lab is part of a DOE consortium currently working on LCLS-II (Linac Coherent Light Source-II) to be installed at SLAC. This new FEL will approximate what might be required for an EUVL machine and could be a conversation starter with a group such as EUV LLC. Accordingly, care should be taken to ensure that any new EUV LLC contractual initiative establishes a clearly defined set of deliverable objectives which are consistent with the demands of semiconductor high volume manufacturing. That said, if the pursuit of FEL technology is warranted, ASML must again participate as a major player within any EUV LLC alliance, or chart its own course (perhaps with current investor/customers on board) in alternative future EUV source development. ASML has taken the lead in providing viable interim EUV technology permitting the characterization of materials, resists, masks and process precision required for future generation lithography. Double patterning techniques utilizing 193i lithography will continue to enable CDs =<10nm. We can also speculate how 13.5nm double patterning might enable future nodes and continued process development.

The ASML EUV initiative has enabled the ground work our industry requires for the new continuum of lithography ahead. Let's work together to secure next generation EUV and the continued evolution of Moore's Law.


I'd like to thank Patrick Naulleau, Ph.D., Director, CXRO, Lawrence Berkeley National Laboratory and Luca Giannessi, Head of FERMI Machine Physics at FERMI@Elettra FEL for their gracious responses to my inquiries while preparing this article.

Much more will be discussed on advanced laser development at SPIE/COS Photonics Asia 2014 in Beijing, October 9-11. A major segment of the program will feature high power lasers and applications. Visit spie.org for more information and registration. Please join me in supporting the National Photonics Initiative, SPIE and the International Year of Light 2015.

Thomas D. Jay
Semiconductor Industry Consultant

Thomas.Dale.Jay@gmail.com
www.ThomasDaleJay.com
Thomas D. Jay YouTube Channel


http://www.linkedin.com/in/thomasdjay/

http://www.youtube.com/watch?v=as4BFjU5MN0&list=UU8T5Lc8XntcOTYqgXLJbwig

 
 
www.lightourfuture.org












www.spie.org


http://spie.org/x93905.xml












Corporate, private entities or publications referenced or linked in this article are the respective owners of their logos, trademarks, service marks, media content and intellectual property.  Unless otherwise disclosed, Thomas D. Jay has no financial interest in companies referenced in blog articles or other published media communications. No representation is made to either buy or sell securities. Opinions expressed by Thomas D. Jay are his own. Thomas D. Jay does not employ or otherwise utilize/authorize third party agents to express his opinions, represent his interests or conduct business on his behalf except where formally contractually designated.

Acknowledgements and Reference Links
 

IBM's Watson now conducting scientific research 
Bloomberg News

Apple and IBM 

IBM

Watson teams up with Seri? 

Apple Computer

ASML announced a second NXE:3300B customer had successfully completed wafer run tests 

ASML

EUV LLC

Lawrence Berkeley CXRO

Free Electron Laser (FEL) 

Wikipedia

The first solid state, 694nm synthetic ruby laser produced at Hughes Research in 1960

Wikipedia

A free electron laser 

Wikipedia

FERMI @ Elettra FEL 

FERMI@Elettra

Recently in June 2014, the FEL-2 beamline produced 4nm light at an average energy of 10 micro-Joules per pulse.

FERMI@Elettra

Performance report 

FIRMI@Elettra


Chandra Sarma
SEMATECH

Interestingly, in 2006 
Lawrence Berkeley National Laboratory

Many new FEL programs are in progress

spie.org



Related blog articles of interest
by Thomas D. Jay

August 2014
The EUV Continuum - Have You Seen the Light?

June 2014
Semiconductor Industry Markets in the Economic Hay Stack

March 2014
A Perspective on EUV Lithography Feb. 2014
The NIF Shot Heard Around the World

November 2013
The Cloud of Nations

August 2013
The SCRUM of All Fears 

January 2013