Translate

Thursday, January 24, 2013

The Scrum of All Fears


If you assemble the leadership of the world's largest semiconductor manufacturers, confront them with the critical tasks required to ensure on time development and delivery of EUV photolithography, what you'll get is the scrum of their fears.

On February 24-28 in San Jose, CA, the world's experts in the field of semiconductor photolithography will meet at the SPIE 2013 Advanced Lithography IV conference to present papers and report on the current development of 13.5 nanometer EUV (Extreme Ultra Violet) light source technology. Although significant progress has been made, the remaining tasks are formidable.  Development activity continues to secure viable production worthy EUV lithography tooling for 14 and 10 nanometer scale devices on 450mm wafers. The scrum masters are afoot and if you're attending the SPIE conference in February, chances are you might be one of them.

Scrum? For the uninitiated, the term scrum as applied to product development was first referred to in the Harvard Business Review 86116: 137–146, 1986. Scrum is a management technique which emulates the activities on a rugby football field where team members repeatedly pass the ball forward to advance toward the goal.  I won't elaborate further on scrum management here (see the referenced Harvard Business Review link below) other than to say it is probable many of us have been practicing elements of this technique for many years (unaware of it's current celebrity). While Director of Marketing at Veeco Instruments I scheduled daily early morning meetings with my staff (usually no more than ten minutes in length) to ensure current project goals were on target, tasks transitioned efficiently among managers, and obstacles were effectively circumvented. It seems to me that the semiconductor industry's management of the EUV photolithography initiative might be compared with a scrum strategy comprised of many teams reinforcing an international R&D effort. Billions of dollars are being strategically allocated by the world's largest semiconductor companies in a concerted effort to drive a program critical to all concerned. I couldn't resist the analogy to current developments in our industry and linkage to the tone of a Tom Clancy novel. Hence, the title of this essay.

Late last week I received comments on my blog questioning the efficiency with which EUV source development was progressing and how possible business/political influences might be favoring underperforming EUV R&D participants. Politics in the semiconductor industry? By now most are aware of the significant investments being made in ASML by Intel, Samsung and TSMC. Some may question the necessity for the investment. With ASML having almost twice the market capitalization of Applied Materials (ASML $29.31B, AMAT $15.22B on 1/23/2013) why was it necessary for three of the world's largest semiconductor manufacturers to invest additional billions in ASML? A review of the investments are in order: (Source: Bloomberg News)
  • Intel agreed on July 9, 2012 to purchase a 10% stake in ASML for $2.1B and later purchase another 5% for $1.0B. Additionally Intel will pay another $1.0B in scheduled payments to ensure the expeditious delivery of critical equipment to be purchased. 
  • TSMC on August 5, 2012 agreed to purchase a 5% stake in ASML for $1.38B
  • Samsung on August 27, 2012 agreed to buy a 3% stake in ASML for $974M.
  • ASML on October 17, 2012 agreed to purchase Cymer for $2.6B. Scrum reset and goal to go.
For a combined $6B investment, Intel, TSMC and Samsung will collectively own approximately 23% of ASML facilitating ASML's purchase of Cymer for another $2.6B This affords the following benefits to the investor/players:
  • The strategic capital investment will sustain ASML/Cymer focus on EUV R&D in a difficult economy.
  • Sustains the ASML/Cymer EUV program in light of concurrent R&D by imec and Xtreme Technologies/Ushio and Gigaphoton, resetting a best of breed competition.
  • Focused EUV funding will help assure uninterrupted continuance of ASML/Cymer's existing 193 nanometer lithography product lines, negating possible resource concerns.
  • The investments should accelerate the delivery of ASML/Cymer EUV lithography systems by approximately 2 years.
  • The additional capital could fund ASML/Cymer development of alternative EUV source technologies, modifications to current designs, or the acquisition of external Intellectual Property as required.
  • Expedites the concurrent development of associated/complementary EUV technologies and accelerates, offsets and distributes the cost of transition to 450mm wafers.
  • Intel, TSMC and Samsung could establish rights to Intellectual Property developed by ASML/Cymer reducing future costs.
  • Provides investor/customer companies with priority shipment slots for ASML EUV systems.
  • Could vest Intel, TSMC and Samsung with influence over future ASML/Cymer activities. 
  • Could enable ASML/Cymer to expand currently planned manufacturing capacity.
  • Could provide tax and/or investment savings for Intel, TSMC and Samsung.

Observations:
Xtreme Technologies/Ushio has demonstrated a viable hybrid EUV source utilizing rotating Sn disks as a feed/source while providing effective mitigation of Tin debris which can contaminate the EUV source optics. It is reported that the current EUV power output is 74 watts and MTBF numbers look favorable at this time. A path to higher EUV power output has been identified.

Gigaphoton has developed a proprietary pre-pulse laser technology with a CE (energy Conversion Efficiency) said to reach 5.2%. Is the recently announced Cymer pre-pulsed laser technology unique to ASML/Cymer, or is the technology licensed from Gigaphoton? Updated 2/20/2013 to note that Cymer was most recently granted patent(s) for laser pre-pulse technology on 4/17/2012 related to co-pending U.S. patent application 11/358,988 filed on 2/21/2006 entitled LASER PRODUCED PLASMA EUV LIGHT SOURCE WITH PRE-PULSE.  

Why are the interests of Intel, TSMC and Samsung specific to ASML and Cymer? Why was there no additional investment from this group in Xtreme Technologies/Ushio or Gigaphoton?  I suspect because there is confidence in the reported success of the Xtreme Technologies/Ushio EUV source installed in an ASML NXE:3100 series system at imec's 300mm fab in Leuven, Belgium. Gigaphoton has also demonstrated significant progress in its EUV source development and was the first to adapt laser pre-pulse technology to enhance EUV power output.

It seems that ASML and Cymer were viewed as being behind in the power curve (literally). However, in consideration of ASML's critical mass in the market place, it was recognized that the best economy of scale could be obtained by resolving Cymer's EUV source issues and tapping ASML's ability to ramp production when required. Cymer recently announced its own laser pre-pulse technology and appears to be recovering lost time. I suspect that Intel, TSMC and Samsung reacted to the stalled timetable at ASML and took steps to restore competitive EUV development there. The entire field of EUV technology vendors will undergo a review at SPIE Advanced Lithography IV and may prompt additional future maneuvering by Intel, TSMC and Samsung.        

For many semiconductor equipment manufacturers, escalating single unit system prices are beginning to reflect a significant fractional percentage of their market capitalization. Example: ASML's current market cap is $29.31B. The current single unit price quoted for its EUV lithography system is $125M, which represents slightly less than half of 1% (0.00426) of ASML's market cap. A full production floor of EUV systems at ASML's new manufacturing facility (accommodating 8 EUV systems totaling $1.0B) represents approximately 4% of its market cap. While ASML's costs may be under control, if your company is valued at one billion dollars in market capitalization, can it afford to develop and support products with a $125M price tag? In today's semiconductor industry economy, strategic partnerships among capital investors, manufacturing consortiums and customers are becoming the norm, providing cost offsets and economies of scale that can sustain the viability of a capital intensive business model. As equipment costs spiral upward, DSA (Directed Self Assembly) techniques for nano-structures are gaining popularity as a possible means of off setting EUV lithography and complementary tooling costs.

It would appear that ASML and Cymer are playing catch up and making progress. Cymer had fallen behind in EUV laser source development while Xtreme Technologies/Ushio and Gigaphoton were making measured power output progress. A best of breed competition was stalling and required a reset. All things considered, the strategic time line for successful production worthy 450mm EUV lithography was in need of an insurance policy, and in the spirit of the capital markets, an additional reinsurance policy (the "insurance policies" being the recent investments made by Intel, TSMC and Samsung). Enter project managers and scrum masters from Intel, TSMC and Samsung. Strategic investments were made to ensure the timely availability of EUV technology and to establish the means by which future remedial assistance to strategic partners might be efficiently managed and financed. As I commented in a previous blog posting on January 5, “Research and development in self assembling semiconductor devices hold promise for the future. In the shorter term we are witnessing the evolution and self assembly of the next generation semiconductor industry.”

As for politics in the semiconductor industry, if you're planning to attend one of the luncheons during the SPIE Advanced Lithography IV conference, dim scrum won't be found on the menu.

Thomas D. Jay
Semiconductor Industry Consultant


For additional information on the SPIE Advanced Lithography 2013 Extreme Ultraviolet Lithography IV program click on the link below:
http://spie.org/app/program/index.cfm?fuseaction=conferencedetail&export_id=x12540&ID=x10947&redir=x10947.xml&conference_id=1039349&event_id=996835

For additional information on scrum management:
http://hbr.org/product/new-new-product-development-game/an/86116-PDF-ENG

For an update on current Cymer Pre-Pulse EUV source technology:
http://www.cymer.com/pre_pulse/

For information on Xtreme Technology/Ushio EUV source technology:

For information on Gigaphoton's EUV source technology:

For a link to a photo of imec's Extreme EUV tool:

For additional information on the recent Intel, TSMC, Samsung investment in ASML, click on one of the referenced Bloomberg New links below:

http://www.bloomberg.com/news/2012-07-09/intel-agrees-to-buy-10-stake-in-asml-for-about-2-1-billion.html

http://www.bloomberg.com/news/2012-08-05/taiwan-semiconductor-agrees-to-invest-1-38-billion-in-asml.html

http://www.bloomberg.com/news/2012-10-17/asml-to-buy-cymer-for-2-55-billion-to-speed-up-euv-development.html


For streaming updated technology news from Google, scroll to the bottom of this page.






Saturday, January 12, 2013

The Shot Noise Heard Around the World


On January 9, I posted a discussion with the LinkedIn semiconductor Photolithography, Photolithography Specialist and EUV Lithography groups posing the question:

What is the current shot noise/dose error performance for EUVL Sn/Laser source technology? Which secondary emissions comprise the largest dose error?”

For those outside the semiconductor industry, EUVL (Extreme Ultraviolet Lithography) is a next generation, extremely short wavelength light source (13.5 nanometers) providing improved photolithographic capability to print ever smaller, nanometer scale transistor circuit patterns on computer chips.  This technology will ensure your next smart phone will be even smarter. 

Although I anticipated a measured response to this topical question, three days have passed with no response to my posted inquiry. Perhaps this silence speaks the volumes to be presented and discussed at the SPIE (International Society for Optics and Photonics) Advanced Lithography Conference 2013, February 24-28 in San Jose, CA.  I suspect those with current answers to these questions are holding their thunder for the conference's Extreme Ultraviolet Lithography IV program. Among other topics, this conference will address the many current challenges in the development of production scale EUV light source and mask technologies critical to the successful on time delivery of 13.5 nanometer production lithography systems.

For world history buffs the “The shot heard around the world” is usually attributed to a pivotal event in history, the 1776 American revolution. In the global semiconductor community shot noise is a topic of considerable discussion at a pivotal point in the evolution of EUV lithography.   Shot noise is a term that has been used to describe the effects of energetic secondary particle emissions produced when surface materials are bombarded by high energy EUV light. The surface materials concerned are usually the EUV pattern mask or the EUV sensitive photoresist surface that nano-circuits are printed on during pattern mask exposure.   Secondary particle emissions can sometimes induce unwanted exposure dose errors and/or possible collateral exposure which can extend beyond the intended EUV image patterns. These errors can result in lower yields if pattern distortions are transferred when the circuits are printed. Advanced metrology systems are capable of detecting the effects of shot noise by measuring the change in patterns created by the exposure/dose error. There has been discussion and inquiry concerning the characterization of secondary emissions for many materials of interest inclusive of photoresists and masks. Typical questions concern the energy and range of secondary emissions and their particle make up. This phenomenon is a hot topic of discussion in EUV lithography and will be discussed at the SPIE Extreme Ultraviolet Lithography IV program in February.


The road map for 13.5 nanometer EUV technology was recently reinforced by Intel, Samsung and TSMC with an $8 billion dollar plus investment in ASML, a leading industry supplier of semiconductor lithography systems based in the Netherlands. Critical to ASML is the on time development of high power laser source technology provided by Cymer. ASML recently acquired Cymer for $2.6 billion to ensure the delivery of this critical system component.   Recently Cymer has addressed the issue of boosting EUV power output by the introduction of pre-pulse laser technology.  By directing an initial laser pulse on tin droplet laser source material, the droplet size is increased to a larger optimal "flat plate target" diameter for more efficient ionization by a second CO2 laser pulse.  Although no new power output levels have been quoted, Cymer reports this technique significantly improves EUV power output and performance as design improvements continue.   

The evolutionary path of EUV Lithography has attracted a significant level of industry investment spanning many years. These efforts are complemented by the supportive research at the SEMATECH Berkeley Micro Exposure Tool (MET) and the Lawrence Berkeley National Labs CXRO (Center for X-Ray Optics) who cooperatively work to provide metrology analysis and development of EUV mask and resist materials.  The CXRO has operated the AIT (Actinic Inspection Tool), a high resolution EUV Fresnel zoneplate microscope dedicated to photomask research.  The newer and improved version of the AIT has been named AIT5 or SHARP (Semiconductor High-NA Actinic Reticle review Project, and is currently scheduled to go on line in April, 2013 with enhanced performance specifications.  A new novel feature of interest is a Fourier Synthesis Illuminator, a concept originated by CXRO's Director, Patrick Naulleau, Ph.D.  AIT5/SHARP's updated hardware and performance specs are significant.  I encourage you to visit the AIT5 web site and download the presentation and technical paper describing the new system and upgrades (see the link below).  I suspect that lab time at AIT5/SHARP will be in demand when it goes online several weeks after the SPIE Advanced Lithography conference in February. 

Recently in cooperation with SEMATECH, researchers from UCF/CREOL (University of Central Florida/College of Optics and Photonics) conducted an evaluation of a high power Sn/EUV laser source.  The research evaluated plasma dynamics and the radiated emissions of Tin droplet targets while suggesting improvements in the instrumentation and methodologies required to enhance resolution of collected spectral, spatial and temporal data.  Additional UCF/CREOL research was conducted on Tin droplet target debris mitigation.  Collectively, the experiments made a significant contribution to the EUV R&D knowledge base.  A large number of well known semiconductor manufacturers, universities and national lab facilities all contribute to this EUV research effort yielding many technical papers. The list of credits is too numerous to mention here.

The current EUV initiative has centered around the wavelength of 13.5 nanometers which some have characterized as the domain of soft X-rays.  Some may debate this discussion of semantics but what are a few nanometers among friends?  In previous years research activity spanned the X-ray regime +/-  yielding similar observations of secondary emissions which have the potential to induce “noise” in lithography patterning. During the 1980's I had the opportunity to observe some of the first synchrotron X-ray lithography experiments at the Brookhaven National Laboratory's National Light Source. Interestingly at the time, two of my largest customers were plugged into the accelerator ring with research end stations, positioned side by side conducting lithography experiments. Analysis of the subsequent research prompted additional experimentation and it became apparent new directions were being plotted for future semiconductor lithography. Recognizing the significance of a seemingly historic moment in X-ray lithography I walked around the synchrotron ring seeking a suitable souvenir to commemorate the event. As most everything in sight was valuable instrumentation I asked my Brookhaven friends what items might be considered suitable as a commemorative take away without upsetting anyone in the accounting department. There was some suggestion and minimal discussion and with the permission of those concerned I claimed my souvenir. Somewhere in storage is a thirty pound lead brick I extracted from the surplus supply stacked near the synchrotron's radiation shield wall. My Brookhaven friends had assured me that there were plenty of lead bricks in the facility and no one would mind if I took one home.  A short time later the value of the synchrotron research became evident as viable customers were found for X-ray scale device technologies. Moore's Law marched on and much has transpired since then.

SEMI industry experts have maintained that for practical EUV source design purposes, 13.5 nanometers is a good fit and as the designated EUV wavelength, complementary tooling, mask and resist designs will follow.  It also seems agreed that the current successful implementation of 193 nanometer lithography will be around for quite a while, but that's another discussion.

As for the question posed in my original posting concerning shot noise, I anxiously await the publication of the EUV program discussions and technical papers to be presented at the SPIE Advanced Lithography Conference in February. A review of the scheduled program illustrates the many EUV issues being addressed. The list is quite extensive and is inclusive of EUV source power output, mask design, secondary emission characterization, and ultimate process quality at targeted nodes.

Note: I have updated this blog entry on January 15, to include mention of the SEMATECH Berkeley AIT5/SHARP (Actinic Inspection Tool) an important new EUV mask metrology capability.

Thomas D. Jay
Semiconductor Industry Consultant
For additional information on the SPIE Advanced Lithography 2013 - Extreme Ultraviolet Lithography IV program click on the link below:     
http://spie.org/app/program/index.cfm?fuseaction=conferencedetail&export_id=x12540&ID=x10947&redir=x10947.xml&conference_id=1039349&event_id=996835

For an update on current Cymer Pre-Pulse EUV source technology click on the link below:
http://www.cymer.com/pre_pulse/

For information on The SEMATECH Berkeley Microfield Exposure Tool (MET) click the link below:
http://cxro.lbl.gov/MET

For information on the SEMATECH Berkeley AIT5/SHARP (Actinic Inspection Tool) click on the link below:
http://ait5.lbl.gov/

For streaming updated technology news from Google, scroll to the bottom of this page.

Saturday, January 5, 2013

IBM - High Tech R&D Power House


The October 28, 2012 on line issue of engadget describes what might be IBM’s first steps to commercialize carbon nano tube technology. Experimentation with carbon structures has been the focus of research activity for most of the past decade. Preliminary interest in carbon’s viability as a semiconductor material was enhanced with the advent of formed nano tube structures as new interest in alternative approaches to device theory and design were explored. IBM, leading the world in new patent generation and intellectual property conducts major research and development in areas of fundamental physics, materials science and the ultimate incorporation of resulting new discovery into advanced technology products with which we often identify IBM. IBM’s annual research and development budget of $6 Billion eclipses the market cap of many well regarded technology companies enabling this wide ranging research. The derivative generation of IBM’s new discovery and product development yields a huge portfolio of intellectual property having value in both end user products and licensable technology. IBM’s recent efforts utilizing an oxide/halfnium oxide/oxide trench to facilitate the delivery and self/location assembly of carbon nano tubes exemplifies the new directions in research being explored to provide more simplistic solutions to challenging semiconductor designs. Other experimental work utilizes DNA to foment self assembled scaffolding on which nano structures may be patterned, eliminating traditional, complex photoresist/lithography fabrication techniques.

While attending the Semicon West 2010 Executive Summit, I had the opportunity to talk with Dr. Bernard Meyerson, IBM’s Chief Technology Officer. He had just completed a presentation which included a review of current, state of the art semiconductor technology and provided an overview of on-going research being conducted on carbon nano tubes, graphene, germanium/silicon and other promising approaches to future device engineering challenges. Interestingly the continuing acceleration of research has infused the periodic table with a new family of materials which provide the current and future building blocks for next generation semiconductor technologies. In addition to IBM’s research, the contributions of SEMATECH and SEMI member consortiums add to the mix of research effort which helps distribute and offset the enormous investment required in a capital intensive industry.

Recently we have witnessed activities in the semiconductor industry which underscore the necessity of the consortiums. EUV lithography systems required for targeted 14 nanometer geometries are dependent upon the successful on time delivery of ASML’s 13.5 nanometer wavelength EUV technology. The lithography and required precision are on target. However, power output and MTBF (Mean Time Between Failure) issues must be satisfactorily resolved. To ensure this critical puzzle piece falls into place, Intel, Samsung, TSMC and others fell into line and invested billions in ASML. ASML in turn proceeded to purchase Cymer, the manufacturer of a high power laser, a key component in the EUV plasma source. A recent You Tube video produced by ASML features animation depicting the new EUV production facility. The production floor can accommodate as many as eight EUV lithography systems and with a price tag of $125 Million each, a single full production run can represent $1 Billion in inventory. Research and development in self assembling semiconductor devices hold promise for the future. In the shorter term we are witnessing the evolution and self assembly of the next generation semiconductor industry.

Thomas D. Jay
Semiconductor Industry Consultant
Thomas.Dale.Jay@gmail.com
www.linkedin.com/pub/thomas-d-jay/26/aa3/499

View my profile on LinkedIn

Friday, January 4, 2013

A New Twist on Light


The October 24, 2012 on-line edition of Light Matters explores a new solid state light emitting optical device which utilizes OAM (Optical Orbital Momentum) to impart phase change (or twist) on emitted beams of light.

What does this mean and why is it significant? Some twisted history follows:

Years before LED (Light Emitting Diodes) and advanced laser optics, phase shifting technologies were utilized in radio and TV broadcast systems to impart information on radio signals utilizing FSK (Frequency Shift Keying). It was found that a radio carrier wave could be momentarily shifted in frequency (and phase with relation to the receiving radio) and manipulated in order to form encoded characters comprising the alphabet and later ASCII characters (American Standard Code for Information Interchange). This technology became known as radio teletype (RTTY) and was utilized by news wire services for their world wide distribution. Later, as early television broadcast technology advanced, efforts were made to improve the quality of TV reception by improving the design of antennas. Remember TV antennas? Metropolitan area TV reception was often subject to “ghosting” which occurs when the original TV signal and the identical reflected signal (off of a nearby building or structure) arrive at a TV receiver a split second apart (phase shifted), creating a double “ghosted” image on the TV screen. A technique utilized by broadcasters to eliminate this problem employs circular (or twisted) polarization. In this technique the TV signal is transmitted with an antenna that simultaneously imparts both horizontal and vertical polarity to the signal which travels through the air like a cork screw. A properly designed receive antenna can capture the cork screw shaped signal in its original phase and “null out” phase shifted reflections, eliminating “ghosts” on your TV screen. TV and radio signals are actually radio frequency energy, a lower wavelength of light invisible to our eyes. At these lower wavelengths the physical antenna structures required to transmit “invisible light waves” are enormous (an AM radio station broadcast tower is a good example). Until recently, phase shifting RF (Radio Frequency) energy and optical wavelength light required big antennas or bulky optical assemblies.

The importance of the analogy:

Circularly polarized antennas for microwave and VHF frequencies range in size from meters to millimeters. OAM is important as it enables the ability to manipulate the transmission and phase of light with a solid state device comprised of structures only 8 microns in size. The evolution of advanced optics and lasers resulted from the ability to precisely shape materials in the exact dimensions required to control optical wave fronts, polarity and other parameters. Solid state lasers are now common place (your laser pointer) and microwave antennas are now etched on silicon or gallium nitride wafers and fit inside your smart phone. Chip manufacturers anticipate the interconnection of data pathways utilizing beams of light instead of copper conductors, increasing device speed and data throughput. Nano structures and plasmon technologies are being developed to build nano scale lasers for on-chip data transmission at the speed of light. The ability to create an 8 micron sized OAM (Optical Orbital Momentum) device enabling the phase shift of emitted light enables a wide range of applications in both metrology and communications. We are witnessing an evolution and convergence of nanoscale technologies at an ever accelerating pace. OAM devices could potentially enhance current FTIR (Fourier Transform Infrared) metrology, scatterometry and other techniques currently used in semiconductor quality control. For communication applications, OAM has potential for use in wafer scale photonic networking and the secure cryptographic transmission of data. Check out NIST (National Institute of Standards and Technology) for new metrology and signal processing research.

OAM device technology puts a new twist on light and will brighten our future.

Thomas D. Jay
Semiconductor Industry Consultant
Thomas.Dale.Jay@gmail.com
www.linkedin.com/pub/thomas-d-jay/26/aa3/499