Translate

Thursday, April 23, 2015

A Defining Inflection in the EUV Continuum


https://www.youtube.com/watch?v=vIiqAcGr614An April 22, 2015 ASML press release [1] announced an agreement with one of its major US customers (believed to be Intel) to purchase a minimum quantity of fifteen of its NXE:3350B EUV lithography systems with two of the units slated for delivery year end 2015. Intel has previously invested over $4 Billion in ASML. Given the size of the order, it would appear that Intel will proceed with a large scale strategic commitment to EUV lithography for future production process nodes =<10nm, with a path to 7nm and smaller CDs.

Although financial details of the purchase were not released, a sizable capital equipment expenditure has been made after many years of delay and uncertainty in the EUV program. This purchase transaction represents a large scale, high profile commitment to what has been a capital intensive development program delayed by uncooperative laws of physics, semiconductor sector business cycles, and capital market dynamics. It seems that the semiconductor production road map has been sufficiently refined, concomitant with related process technologies, and that confidence has been restored in long term EUV/HVM convergence/insertion forecasting. Although Intel's eventual commitment to production EUV had been anticipated, the waiting game is over. The remaining field of industry players who have withheld their commitments to EUV might now be motivated to secure anticipated purchase positioning with ASML before delivery date extensions become a concern.

SPIE Advanced Lithography 2015 was likely a key catalyst triggering this defining inflection point as process experts from around the globe converged to announce new and encouraging breakthroughs in lithography and related technologies which have heretofore gated the EUV program. We might review some of the important observations and breakthroughs which comprise the critical mass of the inflection:


EUV Inflection Triggers
Probable Key Factors in Intel's EUV Decision

- While lithographers have entertained DSA and electron beam lithography as developmental candidates for 7nm scaling, given current evolution, only 13.5nm stepper/scanners can provide the image resolution and throughput required for both pilot line and future HVM.

- SEMATECH recently announced the development of a metal oxide based photoresist which reduces the EUV power output required for EUVL dosimetry (typically 15 – 20 mJ/cm2) to less than 2 - 3 mJ/cm2. [2] The new resist enables process development at reduced EUV power levels, but will not eliminate the future requirement for higher HVM source power. In the interim, it's possible that process solutions can be built around this low dose resist, enabling further, accelerated development of EUV HVM.

- During SPIE Advanced Lithography on February 24, ASML announced TSMC's confirmation that it had processed 1022 wafers in twenty four hours [3] on its NXE:3300B with a sustained source power of 90 watts. There is encouraging new data illustrating improved MTBF while sustaining EUV source operation at higher power levels.

- ASML has made significant over all progress in EUV development and has recently updated its time line for implementation of key milestones. ASML released many new updates on their EUV program at SPIE Advanced Lithography 2015 which were quite voluminous (see the post conference SPIE abstract summary).

- Obstacles to 7nm and future nodes have been addressed. ASML and Carl Zeiss acknowledged in an invited paper at SPIE Advanced Lithography 2015, that higher resolutions will require 60mJ/cm2 for half pitch nodes <8nm. [4] ASML's work with Carl Zeiss has produced an optical system with a numeric aperture (NA) of 0.55 vs. ASML's current EUV NA of 0.33. The higher NA system will require 500 watts of EUV power to achieve the estimated 60 mJ/cm2 dosimetry required for throughput of 150 wafers/hour. While this ASML/Carl Zeiss achievement paves the way for =<7nm process nodes, current R&D programs are also under way to provide a visible path to a >500 watt free electron laser EUV source, identifying one of the last major puzzle pieces in ASML's EUV endeavor. While ASML continues to refine Laser Produced Plasma source technology, the future availability of a >500 watt free electron laser EUV source remains a critical item on the agenda, and will probably gate the time lines of related programs.

- ASML has entered the pellicle business in the self interest of providing viable protection for EUV photomasks from particulate contamination. The polysilicon based pellicles are transparent to EUV with a one pass transmission loss approximating 14% and seem to exhibit sufficient durability for use in production. Previous uncertainty in EUV pellicle viability and availability have been resolved.

- As a key semiconductor industry supplier, Veeco Instruments has been successful in providing ion beam deposition tooling enabling EUV mask fabrication for advanced process nodes within acceptable defect limits. Defect free mask fabrication for advanced nodes has been a gating factor in the EUV program.

- Advancements in actinic inspection are progressing. Lawrence Berkeley National Laboratory's CXRO has been developing the SHARP EUV microscope in cooperation with SEMATECH . [5] A progress report on mask inspection was made at SPIE Advanced Lithography 2015. The SHARP EUV microscope is illuminated by an EUV synchrotron light source within the CXRO complex. The commercial availability of EUV obtained from free electron laser technology could enable the emulation of SHARP's capabilities given comparable optical and analytical performance.

- Future commercial availability of free electron laser EUV sources could also offset concerns gating the development of actinic inspection tools by KLA-Tencor and others. Given proper design, it's possible that a single free electron source beamline could provide EUV source illumination for both stepper/scanner clusters and in-line actinic inspection tools.

- Recent successes at TSMC with ASML's NXE:3300B EUV systems have prompted an additional order for two newer model NXE:3350B tools. As foundry commitments to EUV lithography continue, Intel has taken a major strategic step to ensure its competitive leadership positioning in the global wafer fabrication market.


No doubt there were many other considerations factored into Intel's EUV purchase decision. Ultimately the achievement and convergence of key process and equipment performance concerns have prompted a major commitment to both lithography and investment strategy over the longer term. In doing so, Intel has set a defining course for the semiconductor industry.


Please join me in supporting SPIE and the International Year of Light 2015 (click on the icons below for additional site links).

Thomas D. Jay
Semiconductor Industry Consultant

Thomas.Dale.Jay@gmail.com
www.ThomasDaleJay.blogspot.com
Thomas D. Jay YouTube Channel



http://www.linkedin.com/in/thomasdjay/


https://www.youtube.com/watch?v=vIiqAcGr614
www.npi.org











www.spie.org


https://youtu.be/-R8jJ0wPM2Q



















Corporate, private entities or publications referenced or linked in this article are the respective owners of their logos, trademarks, service marks, media content and intellectual property.  Unless otherwise disclosed, Thomas D. Jay has no financial interest in companies referenced in blog articles or other published media communications. No representation is made to either buy or sell securities. Opinions expressed by Thomas D. Jay are his own. Thomas D. Jay does not employ or otherwise utilize/authorize third party agents to express his opinions, represent his interests or conduct business on his behalf except where formally contractually designated.

Acknowledgements and Reference Links

[1] ASML press release 

Friday, April 3, 2015

Perspective on SPIE Advanced Lithography - San Jose, CA - February 2015

http://www.youtube.com/watch?v=as4BFjU5MN0&list=UU8T5Lc8XntcOTYqgXLJbwigSPIE Advanced Lithography 2015 in San Jose, CA (February 22 - 26) has provided yet another window on the semiconductor engineering community's prowess and its progress in sustaining Moore's Law. SPIE [1] is the international society for optics and photonics. Among its global membership are thousands of scientists and engineers comprising the semiconductor industry's critical mass of expertise, driving innovation/collaboration in the research, development and manufacture of today's smart electronic products. Recently encountered barriers in physics have slowed the further development of photolithography, the prime enabler of the smaller/faster cost/benefit curve known as Moore's Law [2] (Gordon E. Moore, co-founder of Intel Corp.). The manufacture of ever smaller, less expensive transistors and computer chips has historically sustained the evolution of computer technology. In the face of daunting, capital intensive engineering obstacles, the industry is taking slow and deliberate steps to refine the methodology of semiconductor device fabrication at the atomic scale measured in nanometers and picometers. While some have declared Moore's Law inoperable below the 28nm node, others have yet to abandon what has become the semiconductor industry's philosophia perenis. The effort to enhance lithography resolution beyond 7nm has promulgated a multitude of research on a range of technologies which continue to evolve. The sheer momentum and continued investment in the on-going EUV (Extreme UltraViolet) lithography program have sustained incremental improvements in ASML's NXE:3300B/3350B series stepper/scanners. These ASML lithography systems provide proven hardware/software platforms with the uniformity and precision required for current and future process nodes. The progression of Moore's Law has traditionally optimized two critical concerns, reductions in transistor CD (Critical Dimension) feature size and the associated cost of computer chip manufacturing. ASML's NXE:3300B series EUV stepper/scanner with its unprecedented $130 Million capital footprint has enabled ever smaller transistor and circuit CDs, the caveat being a geometric increase in the cost of system ownership. The disruption of Moore's Law and its traditionally complimentary trend lines suggest a strategy enabling CD reduction and process optimization at any cost. It is hoped that increases inherent in current cost trending will eventually acquiesce to restore Moore's Law as we contemplate 7nm manufacturing and more traditional product margins. Historically, production ramping of new process technology lowers costs over time as critical production parameters are optimized and refined. Given current developments, we will likely identify derivatives of Moore's Law exhibiting logarithmic cost/performance trends as future EUVL process technology/throughput is assimilated in high volume manufacturing (HVM).


The EUV Program

In the months preceding SPIE Advanced Lithography 2015, ASML and its customer base made several important announcements regarding NXE:3300B performance upgrades which enhanced source power output. IBM and TSMC made separate announcements describing ASML NXE:3100B EUV source power levels operating at 40 watts. [3] Coincident with SPIE Advanced Lithography this year, ASML announced that in recent testing, one of their NXE:3100B/3350B series EUV systems sustained output power of over 90 Watts. Also newsworthy, Gigaphoton announced their testing of a high power EUV source operating at 100 watts at a 50% duty cycle. Although there have been demonstrable, incremental improvements in source power and uptime, the timely delivery of 250 watt+ EUVL for high volume manufacturing (HVM) remains behind schedule. TSMC continues to invest in the NXE:3300B series platform provided by ASML and has reportedly placed orders for two newer generation NXE:3350B series systems promising higher EUV source power. By maintaining its commitment to the EUV program, TSMC will be strategically positioned to provide its foundry customers with superior EUV lithography capabilities, perhaps at reduced HVM throughput. Continuing concerns with EUV are the node sensitive phenomena of shot noise, line edge roughness and image blur caused by high energy EUV photon interaction with photoresists.

Frequent industry discussion still concerns problematic high energy photons produced at wavelengths of 13.5nm and shorter as they often induce secondary electron emissions [4] which can activate the photoresist outside intended line patterning, creating image blur and pattern distortion. At 13.5nm, photon energies approximate 92 electron volts which can exceed the band gap energy thresholds of resists and other source component materials. Over time, this phenomena also degrades the reflectivity of Bragg cell mirrors, and other critical surfaces found in the high vacuum system and optical beam line. As lithographers anticipate ever smaller geometries, some have advocated a further reduction in stepper light source bandwidth to 6 or 7nm to further improve device and line pattern resolutions. Experiments conducted utilizing gadolinium oxide (Gd2O3) as a plasma source material, has produced peak BEUV spectra approximating a 6.75 to 7.2nm bandwidth (Beyond Extreme UltraViolet). The resulting photon energy at this wavelength approximates 200 eV (electron volts). These higher photon energies and their resulting increase in secondary electron/particle emissions can cause even more pronounced blurring of lithographic imaging. Thus, it would seem that any advantage afforded by shorter wavelength source emissions might be compromised by higher energy secondary emission phenomena unless engineers can qualify new resists and source materials. SEMATECH has been testing photoresists for outgassing and operation with EUV lithography. [5] These phenomena are consistent with any light source operating from 13.5nm through the x-ray spectrum. The observation here is that these concerns are valid for both Tin (Sn) based LPP (Laser Produced Plasma) at 13.5nm and HGHG FEL beam lines (High Gain Harmonic Generation Free Electron Lasers) which are being tested at wavelengths as short as 1nm. Even if free electron lasers can be adapted for use as an EUV source, the phenomena of secondary electron emissions from spectra =<13.5nm in wavelength will continue to challenge current and future process nodes.

To illustrate this observation, I will refer you to the PV Education web site (www.pveducation.org) where photon energies may be easily computed from spectral wavelengths. Follow the link to the photon energy calculator at: http://www.pveducation.org/pvcdrom/properties-of-sunlight/energy-of-photon. [6] The above web page features a calculator/algorithm which facilitates the computation of photon energies as derived from wavelength spectra. To the right of the page is a color graph which depicts the visible light spectrum, also extending to the Ultra Violet (above) and Infra-Red (below) the scale. The chart is interactive. Navigate your cursor up or down on the color scale with your mouse, select a color, and with a click, the embedded algorithm will retrieve the represented color wavelength (λ) and calculate the resulting photon energy in both (eV) and Joules. There is a similar calculator at the bottom of the page which permits manual entry of wavelength data further above and below the range of the color chart's scale. Note that when entering the wavelength data at the bottom of the page, λ must be expressed in μm. For example; 13.5nm must be expressed as 0.0135 μm. Thus, we can easily calculate that 13.5nm (0.0135 μm) = 91.837 eV equivalent photon energy. Assuming engineers might some day experiment with shorter wavelength x-ray regime lithography, a 6nm (.006 μm) and 1nm (.001 μm) wavelength would produce calculated photon energies of 206.633 eV and 1,239.8 eV respectively. I have cited these examples to illustrate the engineering obstacles we might encounter at these shorter wavelengths as current problems with secondary electron emissions and shot noise at 13.5nm (91.837 eV photon energy) remain problematic for energy/particle sensitive application nodes. Shorter wavelengths utilized for future x-ray regime photolithography [7] can present additional engineering challenges, requiring new, higher performance materials for photoresists [8] and source components operating in a high vacuum environment.

Note that the current price of the ASML EUV systems approximate $130M each as compared with $33.5M for ASML's 193nm steppers (approximate average price on 3/28/2015). On November 24, 2014, ASML announced that TSMC placed orders for two NXE:3350B EUV systems with delivery planned for 2015. ASML's installed base of NXE:3300B systems (inclusive of the two existing NXE:3300B systems at TSMC) are planned for field upgrade to NXE:3350B performance specifications. Given current pricing, the four NXE: 3300B/3350B systems at TSMC represent an estimated $520M capital investment and a significant advantage in the highly competitive semiconductor foundry market place.

In addition to securing high power EUV sources for lithography, lower cost, lower power EUV sources for actinic inspection systems will be required for future metrology. Actinic inspection is achieved with microscopy illumination at the stepper/scanner's exposure wavelength, ensuring the best replication of spectral test conditions. Imaging resolutions currently required for semiconductor lithography and actinic inspection microscopes are achieved utilizing state of the art illumination sources operating at wavelengths ranging from DUV (Deep UltraViolet, 193nm) and EUV (Extreme UltraViolet, 13.5nm) wavelengths. Diffraction physics at these wavelengths facilitate current lithography and metrology for state of the art device CDs (Critical Dimensions).

To date, semiconductor engineers have cleverly exploited these shorter, soft x-ray wavelengths (currently extending to 13.5nm) to better resolve nanometer scale line patterns and devices. The additional introduction of liquid immersion lithographic technique at 193nm (193i) has enabled the enhancement of numeric aperture specifications beyond the range of conventional optical systems. These break through performance improvements have been accomplished with “brute force” engineering, with ASML sparing no expense or complexity in achieving its current lithographic design specifications. ASML has achieved similar “brute force” success with EUV lithography as exemplified by its NXE:3300B and newly introduced NXE:3350B series stepper/scanners. That said, the “brute force” descriptor I've invoked is worthy of justification and illustration. ASML/Cymer EUVL sources utilize both a solid state pre-pulse and larger 20 kilowatt CO2 laser to vaporize a stream of micron sized Tin (Sn) particles which currently yields <100 watts of EUV light. For comparison, our friends at Lockheed Martin very effectively utilize a 10 kilowatt fiber laser to track and shoot down incoming missiles [9] with impressive results. I've often wondered what the target missile's EUV yield and CE might be (there's probably a tin component in its soldered circuits). I should note that I'm not advocating the militarization of our wafer fabs, but want to illustrate the “brute force” of the LPP (Laser Produced Plasma) physics required to supply current EUVL power levels. Within the NXE:3100B systems, the integration and function of the massive 20 kilowatt CO2 laser is transparent to the user and is an excellent example of how potential swords can be made into plowshares (or perhaps ASML shares NASDAQ:ASML).



Observations on Current Lithography Trends

- As we know, 13.5nm lithography currently provides superior image resolution, enabling the scaling of critical dimensions <14nm.

- 13.5nm EUV source power levels have been demonstrated from 40-70 watts at the stepper IF (Intermediate Focus) with 90 watt capabilities recently demonstrated; still insufficient for High Volume Manufacturing (HVM). More reliability testing is required.

- 13.5nm CD resolution might be extended =<7nm utilizing multiple patterning techniques, but again, higher EUV source power will be required. [10]

- During SPIE Advanced Lithography on February 24, 2015 ASML announced TSMC's confirmation that is had processed 1022 wafers in twenty four hours [11] on its NXE:3300B with a sustained source power of 90 watts. TSMC anticipates it will use its EUV lithography systems in production.

- In the past ASML has released engineering strategies for achieving higher EUV source power levels by optimization of pre-pulse laser targeting, laser pulse timing and tin source feed rates. [12] The wave form and pulse rate of the larger CO2 laser was also optimized for best performance. The most recent NXE:3300B improvements also reflect the achievement of better uptime and MTBF (Mean Time Between Failure), permitting limited production testing.

- TSMC has recently purchased two NXE:3350B series stepper/scanners which will incorporate EUV source power output improvements, presumably from enhanced lasers, a warm swap tin feed mechanism and increased Tin (Sn) energy conversion efficiency.

- During the week of SPIE Advanced Lithography 2015, Gigaphoton announced their testing of a high power EUV source operating at 92 watts [13] at a 50% duty cycle.

- Although there have been recent improvements in performance, 13.5nm LPP sources will probably exhaust viable power scaling at levels approaching 300 watts. Achievement of desired HVM MTBF goals utilizing Sn LPP at these source power levels may be very difficult to achieve and I suspect that further source power progress must await the availability of free electron laser technology currently under development. [14]

- SEMATECH recently announced the development of a metal oxide based photoresist which reduces the EUV power output required for EUVL dosimetry (typically 15 – 20 mJ/cm2) to less than 2 - 3 mJ/cm2. [15] The new resist enables process development at reduced EUV power levels, but will not eliminate the future requirement for higher HVM source power.

- The requirement for higher EUV power has become even more critical as ASML and Carl Zeiss acknowledged in an invited paper at SPIE Advanced Lithography 2015, that higher resolutions will require 60mJ/cm2 for half pitch nodes <8nm. [16] ASML's work with Carl Zeiss has produced an optical system with a numeric aperture (NA) of 0.55 vs. ASML's current EUV NA of 0.33. The higher NA system will require 500 watts of EUV power to achieve anticipated the estimated 60 mJ/cm2 dosimetry required for throughput of 150 wafers/hour. While this concept extends the viability of 13.5nm lithography, the delivery of a reliable 500 watt EUV source remains a critical item on the agenda, meaning the availability of free electron laser technology will probably gate related programs.

- ASML has made significant progress in EUV development and has updated its time line for implementation of key milestones. In November 2014, Frits van Hout, ASML's Executive Vice President and Chief Program Officer made a special Investors Day presentation on EUV. [17] ASML released many additional updates on their EUV program at SPIE Advanced Lithography 2015 which were quite voluminous (see the post conference SPIE abstract summary).

- Free Electron Laser technology currently under development should be capable of providing the required 500 watt HVM power levels at 13.5nm and beyond, [18] but would still incur the on-going problems associated with secondary electron emissions.

- Although viable technology, a compact Free Electron Laser source designed for semiconductor photolithography [19] will require several years to develop. The progress on EUV lithography has been slow, but the pieces of the puzzle are coming together fostering further accelerated development.

- ASML has entered the pellicle business in the interest of providing viable protection for photomasks from particulate contamination. The polysilicon based pellicles are transparent to EUV with a one pass transmission loss approximating 14% and seem to exhibit sufficient durability for use in production.

- Cymer recently introduced the XLR700ix as an upgrade to its existing 193 nanometer Deep Ultra Violet (DUV) argon fluoride (ArF) laser light source . [20] The upgrade reduces the sources bandwidth, enhancing the purity/stability of the 193 nanometer spectral line, providing sharper image resolution and extending the service/process life of ASML's 193 nanometer immersion steppers. The upgrade will enable 14 nanometer line width image resolution (and beyond) as required for future semiconductor process nodes. This upgrade in capability will provide an additional time line buffer, extending the process/service life of 193nm systems as 13.5nm source technology matures.

- On March 24, 2015 is was announced that among 19 other companies, ASML was selected as a preferred quality supplier by Intel Corporation for 2014 . [21]

- Advancements in actinic inspection are progressing. Lawrence Berkeley National Laboratory's CXRO has been developing the SHARP EUV microscope in cooperation with SEMATECH . [22] A progress report on mask inspection was made at SPIE Advanced Lithography 2015.

- Additional experimentation has been conducted on 7nm light source technology in the hope of further improving image resolution. 7nm light source spectra has been produced utilizing Gadolinium Oxide (Ga2O3) as an ionization source material. [23] Photons emitted from this plasma exhibit higher levels of photon energy approximating 206eV. Thus, photoresists and system hardware/materials utilized in stepper/scanner systems operating at this wavelength may require upgrading and additional MTBF evaluation. Preliminary experimentation with 7nm lithography has produced line blurring at the CD nodes tested due to secondary emission excursions and resist PAG cell activation beyond the boundaries of intended line patterns. Given the line blurring and increased secondary emission energies encountered with 7nm light source technology, work continues to optimize 13.5nm EUV as the “sweet spot in the spectrum” as it currently provides a best case lithography platform when utilized with currently tested materials, resists and light sources.

- In related news, on March 9, 2015 NIST published an Article on improving the accuracy of X-Ray Wavelength Calibration Measurements. [24] This technique could improve the accuracy of the spectral analysis of x-ray regime light source emissions, providing optimal stepper/scanner resolving power and reducing out of band emissions (OOB). NIST's enhanced wavelength calibration accuracy will assist us in pushing the spectral boundaries of lithography.

- Alternative lithography experiments with Directed Self Assembly techniques have produced viable line patterns below 28nm, [25] but might not scale to nodes <7nm due to variations in CD uniformity and precision. Further development of DSA continues and test results must be well qualified prior to acceptance for use in HVM.

- Electron beam lithography is a process proven technology and has been historically used to fabricate lithography masks for 193nm and EUV process nodes. EBL also facilitates the fabrication of NIL (Nano Imprint Lithography) molds, and enables maskless DWEB (Direct Write Electron Beam) fabrication of wafers. EUV has better DOF (Depth of Field) than 193i and EUV lithography. With better DOF, resolution and electron beam spot size control, maskless EBL could be better suited for highly resolved 20nm and <14nm lithography. The eBeam Initiative recently discussed a mask makers perspective on the 10nm node. Chris Progler of Photronics discusses the eBeam challenges ahead. [26] Although recognized for superior resolution, the throughput limitations of ebeam as compared with stepper/scanner lithography have limited its acceptance as a production tool. While multi-beam array system designs can improve throughput, there has been no large scale adaption of ebeam lithography in production wafer fabs. Progress in eBeam lithography continues and Aki Fugimura CEO of D2S has hosted a video journal of eBeam Initiative news [27] discussing recent highlights from SPIE Advanced Lithography 2015. An informative BACUS round table discussion of the eBeam Initiative's 2014 year end survey results includes moderator Jan Willis of D2S, Tom Faure of IBM, Bob Pack of GLOBALFOUNDRIES, Noriaki Yakanamada of NuFlare, and Aki Fugimura of D2S.



Abbe Road

Given the obstacles we might encounter improving the available uptime of LPP (Laser Produced Plasma) sources and the further enhancement of image resolution, what alternative lithography methods might we consider? Let's discuss some fundamentals and set the stage for a new approach to lithography. In a brief review of classical optics, conventional optical microscopes are limited in resolution and are insufficient for use in state of the art semiconductor photolithography.

A fundamental barrier in achieving higher resolution in optical microscopes is termed the diffraction limit. In 1873, Ernst Karl Abbe calculated the diffraction limit of optical microscopes. [28] Abbe determined that the physical limitations of conventional optical microscopes limit resolution to a field of view approximating 200nm. In a scenario depicting multiple sample specimens having dimensions less than 200nm (for example: 10 particles, each 15nm in size), each particle would receive the same illumination from the microscope while simultaneously appearing in the 200nm field of view. Equally illuminated, particles of this size are difficult to discern individually as their combined reflectivity/luminance can obscure the presence of any singular particle within the field of view. In this example, a diffraction limited 200nm field of view often yields particle imaging which can appear as one large mass or cloud to the viewer. To overcome this obstacle, lithographers now use the shorter wavelengths of 193nm DUV and 13.5nm EUV to escape the diffraction limitations of visible light. Although vastly superior to visible light for high resolution imaging, EUV light introduces yet another set of challenges.


A Replacement for EUV?

To date, high energy EUV photons and resulting secondary electron emissions remain a problem for 13.5 nm lithography. Although we have successfully produced radiation hardened semiconductor devices for military and space craft applications, we have yet to develop process techniques to suppress secondary electron emissions during EUV lithography fabrication. An ideal lithography process would produce high resolution imaging <10nm while eliminating process induced shot noise, line edge roughness and image blurring induced by secondary electron/particle emissions. Recent new advances in two and three color lithography promise to provide such solutions and pose exciting new possibilities for the semiconductor fabrication community.


STED - Stimulated Emission/Depletion Lithography

In 1994 Stefan Hell, Ph.D. And Jan Wichmann developed an imaging technique called Stimulation Emission/Depletion Microscopy (STED) for which Hell was awarded the Nobel Prize in chemistry in 2014. Their goal was to achieve superior optical resolution by using a primary laser to selectively raise the energy state of luminous sample cells of interest (thus enhancing their visibility). A secondary laser at a different wavelength was used to deplete the energy state of adjacent luminous cells within the field of view (suppressing their optical visibility). The net effect is the enhancement of the microscope's target image without the simultaneous background illumination interference from adjacent sample materials. Dr. Hell describes this technique in detail in his 2011 SPIE Phonics West presentation, titled Nanoscopy With Focused Light – 2011. Dr. Hell's presentation can be viewed on SPIE TV's YouTube channel. [29] I highly recommend you review this excellent background presentation.

Periodic Structures Presents

Three Color STED-Like Lithography


Recognizing the potential benefits STED technique might bring to semiconductor photolithography, John Petersen, SPIE Fellow, began research on adapting STED technology for the wafer fab. [30] John's company, Periodic Structures, Inc. has secured research grant funding from the National Science Foundation, and previously, DARPA and is in contracted collaboration with the University of Maryland to develop working prototypes of two and three color photolithography resist materials. Using a Digital Micro Mirror Device (DMD) for imaging, (instead of a conventional photomask) two colored lasers have been used to image two color photoresist while using an interference lithography technique to facilitate activation/depletion exposures. In early February 2015, prior to SPIE Advanced Lithography, I spoke to John at length to discuss his plans for the conference. He indicated that a three color resist was under development and anticipated its availability in time for discussions at SPIE Advanced Lithography. As predicted, during the conference his colleague John Fourkas, Ph.D. of the University of Maryland announced the creation of the first class of three color molecular switches needed to fabricate photoresists for sub 10nm resolution lithography. Subsequent experiments are planned to utilize two photon exposures to determine what resolutions can be achieved utilizing thick films. Given success with thick films, experimentation will continue to include thin films and single photon lithography. As posted in the SPIE web site's News Room, Dr. Fourkas' recent article [31] states that the current record for STED feature size is 9 nm using 800nm light for two-photon excitation and 375nm light for deactivation. Using this technique, photon excitation initiating the chemical activation of patterned photoresist is accomplished with 800nm light while the surface areas adjacent to the line patterns are energy depleted using 375nm exposures. This technique effectively suppresses line blurring while enhancing image resolution. The use of these longer wavelength light sources negate concerns with secondary electron emissions typical of 13.5nm process nodes. Photon energies encountered at wavelengths spanning 375nm to 800nm approximate a few volts as compared with almost 93 volts encountered at 13.5nm. This technique holds promise to improve image resolution at a greatly reduced cost. Higher resolutions below 28nm can also be achieved utilizing proven double patterning techniques to achieve desired line pitch. However, in a double or multiple patterned process, the chemical activation of the two color resist sometimes sustains itself after the initial activation/depletion exposure and can limit process window time. Although the initial photo activation is prevented with a depletion exposure, subsequent, cumulative stitching exposures can increase the dosimetry adjacent to intended line patterns and can enlarge their critical dimensions. Thus, cumulative multi-patterned exposures can ultimately restrict the final attainable pitch to 50nm or larger. Fortunately, this phenomenon can be eliminated using a three color technique where one wavelength can deactivate the resist from a preactivation energy state (in essence turning it off) while another wavelength can reverse this process (a return to a preactivation energy state) and a third exposes it (inducing a resist activation energy state). The addition of this third wavelength can be made to eliminate this process phenomenon, thus extending capabilities to write smaller multiple patterned geometries without compromising image quality and extending resolution approaching 5nm half pitch. In further describing multi-patterned imaging on the PSI (Periodic Structures Inc.) multi-color DMD lithography system, John Petersen went on to make an important clarification. “It is not simply multiple patterning in the conventional sense because the stitching is done without removing the wafer. This is critical in terms of edge placement control, defects and throughput. We plan to use a technique developed by Mark Schattenburg at MIT to achieve edge placement accuracy of +/- 0.67nm”.

In microscopy, using Ground State Depletion, Stephen Hell has imaged 2.8nm features with visible/near-IR. Based on a Normalized Image Log-Slope argument . [32] it is anticipated that 7nm half-pitch patterning can be accomplished with a 405nm depletion wavelength while maintaining a NILS of 1.5. The systems numerical aperture (NA) is currently specified at .95, but due to the system's small field size, immersion lithography techniques are possible and are not limited to water allowing the numerical aperture to range from 1.3 to 2 (dependent upon the immersion material used). STED-like three color lithography for semiconductor applications promises to provide an elegant solution to many process concerns at greatly reduced cost.


Observations Regarding Multi-Color 

STED-Like Lithography

- Unlike currently available EUV systems, multi-color light source power levels should be sufficient to effect required HVM throughput dosimetry (although there are additional throughput issues to be addressed).

- There could be a significant difference in cost between currently available DUV/EUV resists and anticipated multi-color resists.

- A multi-color STED-like lithography system will not require a high vacuum system to accommodate the light sources and will simplify the utilities and environment required to support the scanner.

- A multi-color lithography system as compared with DUV and EUV systems should provide superior uptime and MTBF.

- An optimized multi-color lithography system providing resolutions comparable with 193 and 13.5nm stepper/scanners should be significantly less expensive to own and operate.

- Multi-color STED-like lithography could also simplify the resources required for actinic inspection possibly utilizing STED microscopy techniques.

- The longer wavelengths utilized in multi-color lithography could facilitate the use of a broader range of materials in the fabrication of photoresists.

- Multi-color STED-like lithograpy could become a complementary technology enabling cost/benefits for specific applications in place of, or along side EUVL based systems.

Closing Thoughts 


Of the many developments reported at SPIE Advanced Lithography 2015, I suspect major interest and emphasis are focused on resolving the remaining EUV lithography issues of power output, MTBF and next generation process scaling <10nm. Over ten years have passed since the inception of the EUV program and many unresolved issues remain challenging. With current pricing of EUV stepper/scanners estimated to be $130M, there is an on-going interest in exploring viable alternative technologies which might similarly achieve current and future process resolutions while sustaining the historic cost/performance trend lines established by Moore's Law. In my opinion, John Petersen and the Periodic Structures team have presented an excellent alternative technology featuring a multi-color STED-like enhanced photolithography technique. STED technique is an elegant approach to achieving current and future CD design goals as it simultaneously provides required high resolution lithography at optimal dosimetry levels while minimizing concerns with secondary electron emissions and induced line blur/distortions. The multi-color STED program at Periodic Structures is currently funded by grants from the National Science Foundation. Interested parties should contact John Petersen, SPIE Fellow, for additional information on the R&D effort there.

I'd like to thank John Petersen and the team at Periodic Structures, Inc. [33] for their time and gracious provision of reference information while I prepared this article.

Congratulations to the Team at SPIE and the multitude of its members who've together made Advanced Lithography 2015 another event of record in semiconductor lithography.
My closing thoughts on YouTube.

Please join me in supporting SPIE and the International Year of Light 2015.

Regards to all,
Thomas D. Jay
Semiconductor Industry Consultant
Thomas.Dale.Jay@gmail.com
www.ThomasDaleJay.blogspot.com
Thomas D. Jay YouTube Channel



http://www.linkedin.com/in/thomasdjay/

http://www.youtube.com/watch?v=as4BFjU5MN0&list=UU8T5Lc8XntcOTYqgXLJbwig

 
 
www.lightourfuture.org












www.spie.org


http://spie.org/x93905.xml











Corporate, private entities or publications referenced or linked in this article are the respective owners of their logos, trademarks, service marks, media content and intellectual property.  Unless otherwise disclosed, Thomas D. Jay has no financial interest in companies referenced in blog articles or other published media communications. No representation is made to either buy or sell securities. Opinions expressed by Thomas D. Jay are his own. Thomas D. Jay does not employ or otherwise utilize/authorize third party agents to express his opinions, represent his interests or conduct business on his behalf except where formally contractually designated.

Acknowledgements and Reference Links

[1] SPIE 
SPIE web site.

[2] Moore's Law 
Link to YouTube, Gordon Moore - Behind the Ubiquitous Microchip, University of California Television (UCTV).

[3] IBM and TSMC made separate announcements describing ASML NXE:3100B EUV source power levels operating at 40 watts
Link to ASML web site.

[4] secondary electron emissions 
Link to Wikipedia

[5] SEMATECH has been testing photoresists for outgassing and operation at high energy photon dose levels
Link to SEMATECH web site.

[6] http://www.pveducation.org/pvcdrom/properties-of-sunlight/energy-of-photon 
Link to PV Education.org web site.

[7]  future x-ray regime photolithography
Link to Wikipedia.

[8]  photoresists
Link to Wikipedia.

[9] For comparison, our friends at Lockheed Martin very effectively utilize a 10 kilowatt fiber laser to track and shoot down incoming missiles 
Link to Lockheed Martin, YouTube.

[10] higher EUV source power will be required 
Link to SPIE Digital Library

[11] ASML announced TSMC's confirmation that is had processed 1022 wafers in twenty four hours 
Link to ASML web site.

[12] optimization of pre-pulse laser targeting, laser pulse timing and tin source feed rates 
Link to SPIE Digital Library.

[13] Gigaphoton announced their testing of a high power EUV source operating at 100 watts 
Link to SPIE Digital Library.

[14] I suspect that further source power progress must await the availability of free electron laser technology currently under development.
Link to Thomas D. Jay’s blog.

[15]  photoresist which reduces the EUV power output required for EUVL dosimetry
Link to SEMATECH web site.

[16] ASML and Carl Zeiss acknowledged in an invited paper at SPIE Advanced Lithography 2015, that higher resolutions will require 60mJ/cm2 for half pitch nodes <8nm.
Link to SPIE Digital Library.

[17] Frits van Hout, ASML's Executive Vice President and Chief Program Officer made a special Investors Day presentation on EUV
Link to ASML web site.

[18] Free Electron Laser technology currently under development should be capable of providing the required 500 watt HVM power levels at 13.5 nm and beyond
Link to SPIE Digital Library.

[19] a compact Free Electron Laser source designed for semiconductor photolithography 
Link to Thomas D. Jay’s Blog

[20] Cymer recently introduced the XLR700ix as an upgrade to its existing 193 nanometer Deep Ultra Violet (DUV) argon fluoride (ArF) laser light source
Link to Cymer web site.

[21]  ASML was selected as a preferred quality supplier by Intel Corporation for 2014
Link to ASML web site.

[22] Lawrence Berkeley National Laboratory's CXRO has been developing the SHARP EUV microscope in cooperation with SEMATECH .
Link to SPIE Digital Library.

[23] 7nm light source spectra has been produced utilizing Gadolinium Oxide (Ga2O3) as an ionization source material.
Link to EUV Litho web site.

[24] NIST published an Article on improving the accuracy of X-Ray Wavelength Calibration Measurements
Link to NIST web site.

[25] Alternative lithography experiments with Directed Self Assembly techniques have produced viable line patterns below 28nm
Link to SPIE Digital Library.

[26] Chris Progler of Photronics discusses the eBeam challenges ahead.
Link to eBeam Initiative web site.

[27] Aki Fugimura CEO of D2S has hosted a video journal of eBeam Initiative news
Link to eBeam Initiative web site.

[28] Ernst Karl Abbe calculated the diffraction limit of optical microscopes.
Link to Wikipedia

[29] Dr. Hell's presentation can be viewed on SPIE TV's YouTube channel
Link to SPIETV, Stefan Hell, SPIE Phonics West, Nanoscopy With Focused Light – 2011

[30] John Petersen, SPIE Fellow, began research on adapting STED technology for the wafer fab.
Link to John Petersen's Slide Share web site.

[31] Dr. Fourkas' recent article 
Link to SPIE news web site

[32] Normalized Image Log-Slope argument
Link to LithoGuru web site.

[33] Periodic Structures, Inc.
Link to Periodic Structures web site.

Related blog articles of interest
by Thomas D. Jay

September 2014
Future FEL/EUV Strategy - The Light at the End of the Beamline

August 2014
The EUV Continuum - Have You Seen the Light?

June 2014
Semiconductor Industry Markets in the Economic Hay Stack

March 2014
A Perspective on EUV Lithography Feb. 2014
The NIF Shot Heard Around the World

November 2013
The Cloud of Nations

August 2013
The SCRUM of All Fears 

January 2013