Translate

Thursday, April 23, 2015

A Defining Inflection in the EUV Continuum


https://www.youtube.com/watch?v=vIiqAcGr614An April 22, 2015 ASML press release [1] announced an agreement with one of its major US customers (believed to be Intel) to purchase a minimum quantity of fifteen of its NXE:3350B EUV lithography systems with two of the units slated for delivery year end 2015. Intel has previously invested over $4 Billion in ASML. Given the size of the order, it would appear that Intel will proceed with a large scale strategic commitment to EUV lithography for future production process nodes =<10nm, with a path to 7nm and smaller CDs.

Although financial details of the purchase were not released, a sizable capital equipment expenditure has been made after many years of delay and uncertainty in the EUV program. This purchase transaction represents a large scale, high profile commitment to what has been a capital intensive development program delayed by uncooperative laws of physics, semiconductor sector business cycles, and capital market dynamics. It seems that the semiconductor production road map has been sufficiently refined, concomitant with related process technologies, and that confidence has been restored in long term EUV/HVM convergence/insertion forecasting. Although Intel's eventual commitment to production EUV had been anticipated, the waiting game is over. The remaining field of industry players who have withheld their commitments to EUV might now be motivated to secure anticipated purchase positioning with ASML before delivery date extensions become a concern.

SPIE Advanced Lithography 2015 was likely a key catalyst triggering this defining inflection point as process experts from around the globe converged to announce new and encouraging breakthroughs in lithography and related technologies which have heretofore gated the EUV program. We might review some of the important observations and breakthroughs which comprise the critical mass of the inflection:


EUV Inflection Triggers
Probable Key Factors in Intel's EUV Decision

- While lithographers have entertained DSA and electron beam lithography as developmental candidates for 7nm scaling, given current evolution, only 13.5nm stepper/scanners can provide the image resolution and throughput required for both pilot line and future HVM.

- SEMATECH recently announced the development of a metal oxide based photoresist which reduces the EUV power output required for EUVL dosimetry (typically 15 – 20 mJ/cm2) to less than 2 - 3 mJ/cm2. [2] The new resist enables process development at reduced EUV power levels, but will not eliminate the future requirement for higher HVM source power. In the interim, it's possible that process solutions can be built around this low dose resist, enabling further, accelerated development of EUV HVM.

- During SPIE Advanced Lithography on February 24, ASML announced TSMC's confirmation that it had processed 1022 wafers in twenty four hours [3] on its NXE:3300B with a sustained source power of 90 watts. There is encouraging new data illustrating improved MTBF while sustaining EUV source operation at higher power levels.

- ASML has made significant over all progress in EUV development and has recently updated its time line for implementation of key milestones. ASML released many new updates on their EUV program at SPIE Advanced Lithography 2015 which were quite voluminous (see the post conference SPIE abstract summary).

- Obstacles to 7nm and future nodes have been addressed. ASML and Carl Zeiss acknowledged in an invited paper at SPIE Advanced Lithography 2015, that higher resolutions will require 60mJ/cm2 for half pitch nodes <8nm. [4] ASML's work with Carl Zeiss has produced an optical system with a numeric aperture (NA) of 0.55 vs. ASML's current EUV NA of 0.33. The higher NA system will require 500 watts of EUV power to achieve the estimated 60 mJ/cm2 dosimetry required for throughput of 150 wafers/hour. While this ASML/Carl Zeiss achievement paves the way for =<7nm process nodes, current R&D programs are also under way to provide a visible path to a >500 watt free electron laser EUV source, identifying one of the last major puzzle pieces in ASML's EUV endeavor. While ASML continues to refine Laser Produced Plasma source technology, the future availability of a >500 watt free electron laser EUV source remains a critical item on the agenda, and will probably gate the time lines of related programs.

- ASML has entered the pellicle business in the self interest of providing viable protection for EUV photomasks from particulate contamination. The polysilicon based pellicles are transparent to EUV with a one pass transmission loss approximating 14% and seem to exhibit sufficient durability for use in production. Previous uncertainty in EUV pellicle viability and availability have been resolved.

- As a key semiconductor industry supplier, Veeco Instruments has been successful in providing ion beam deposition tooling enabling EUV mask fabrication for advanced process nodes within acceptable defect limits. Defect free mask fabrication for advanced nodes has been a gating factor in the EUV program.

- Advancements in actinic inspection are progressing. Lawrence Berkeley National Laboratory's CXRO has been developing the SHARP EUV microscope in cooperation with SEMATECH . [5] A progress report on mask inspection was made at SPIE Advanced Lithography 2015. The SHARP EUV microscope is illuminated by an EUV synchrotron light source within the CXRO complex. The commercial availability of EUV obtained from free electron laser technology could enable the emulation of SHARP's capabilities given comparable optical and analytical performance.

- Future commercial availability of free electron laser EUV sources could also offset concerns gating the development of actinic inspection tools by KLA-Tencor and others. Given proper design, it's possible that a single free electron source beamline could provide EUV source illumination for both stepper/scanner clusters and in-line actinic inspection tools.

- Recent successes at TSMC with ASML's NXE:3300B EUV systems have prompted an additional order for two newer model NXE:3350B tools. As foundry commitments to EUV lithography continue, Intel has taken a major strategic step to ensure its competitive leadership positioning in the global wafer fabrication market.


No doubt there were many other considerations factored into Intel's EUV purchase decision. Ultimately the achievement and convergence of key process and equipment performance concerns have prompted a major commitment to both lithography and investment strategy over the longer term. In doing so, Intel has set a defining course for the semiconductor industry.


Please join me in supporting SPIE and the International Year of Light 2015 (click on the icons below for additional site links).

Thomas D. Jay
Semiconductor Industry Consultant

Thomas.Dale.Jay@gmail.com
www.ThomasDaleJay.blogspot.com
Thomas D. Jay YouTube Channel



http://www.linkedin.com/in/thomasdjay/


https://www.youtube.com/watch?v=vIiqAcGr614
www.npi.org











www.spie.org


https://youtu.be/-R8jJ0wPM2Q



















Corporate, private entities or publications referenced or linked in this article are the respective owners of their logos, trademarks, service marks, media content and intellectual property.  Unless otherwise disclosed, Thomas D. Jay has no financial interest in companies referenced in blog articles or other published media communications. No representation is made to either buy or sell securities. Opinions expressed by Thomas D. Jay are his own. Thomas D. Jay does not employ or otherwise utilize/authorize third party agents to express his opinions, represent his interests or conduct business on his behalf except where formally contractually designated.

Acknowledgements and Reference Links

[1] ASML press release